电工电子ppt课件

上传人:文**** 文档编号:240781759 上传时间:2024-05-08 格式:PPT 页数:93 大小:2.30MB
返回 下载 相关 举报
电工电子ppt课件_第1页
第1页 / 共93页
电工电子ppt课件_第2页
第2页 / 共93页
电工电子ppt课件_第3页
第3页 / 共93页
点击查看更多>>
资源描述
第第14章章 半导体二极管和晶体管半导体二极管和晶体管教学目的和要求:教学目的和要求:1、掌握二极管外特性和主要参数,正确理解PN结;2、正确理解三极管工作原理,熟练掌握其外特性和主要参数重重 点:点:1、半导体导电特性 2、PN结的形成与特点 3、二极管结构及伏安特性 4、三极管工作原理及特性第14章 半导体二极管和晶体管重 点:11、N型半导体型半导体自由电子浓度远大于空穴浓度。自由电子浓度远大于空穴浓度。多数载流子多数载流子(多子多子)-自由电子自由电子 少数载流子少数载流子(少子少子)-空穴。空穴。2、P型半导体型半导体中空穴浓度远大于自由电子浓度中空穴浓度远大于自由电子浓度 多子多子-空穴,空穴,少子少子-电子电子。3.在杂质半导体中在杂质半导体中多子的数量多子的数量主要与主要与掺杂浓度掺杂浓度有关。有关。4.在杂质半导体中在杂质半导体中少子的数量少子的数量主要与主要与温度温度有关。有关。5.5.当当当当温度升高温度升高温度升高温度升高时,时,时,时,少子少子少子少子的数量的数量的数量的数量增多增多增多增多。6.6.在外加电压的作用下,在外加电压的作用下,在外加电压的作用下,在外加电压的作用下,P P 型半导体中的电流主要是空型半导体中的电流主要是空型半导体中的电流主要是空型半导体中的电流主要是空穴电流,穴电流,穴电流,穴电流,N N 型半导体中的电流主要是电子电流型半导体中的电流主要是电子电流型半导体中的电流主要是电子电流型半导体中的电流主要是电子电流。1、N型半导体自由电子浓度远大于空穴浓度。28、PN结形成后,空间电荷区中几乎没有载流子。结形成后,空间电荷区中几乎没有载流子。(故空间电荷区的电阻率很高故空间电荷区的电阻率很高)9、PN结正向偏置,空间电荷区变窄。多子扩散运结正向偏置,空间电荷区变窄。多子扩散运动增强,形成正向电流;动增强,形成正向电流;10、PN结反向偏置,空间电荷区变宽。多子扩散结反向偏置,空间电荷区变宽。多子扩散运动被抑制,少子漂移运动增强,形成微弱的漂移运动被抑制,少子漂移运动增强,形成微弱的漂移电流;电流;7、N型半导体中由于多数载流子为自由电子,型半导体中由于多数载流子为自由电子,P型半导体中由于多数载流子为空穴,但是不论是型半导体中由于多数载流子为空穴,但是不论是P型还是型还是N型半导体材料本身都不带电型半导体材料本身都不带电,呈电中性呈电中性。8、PN结形成后,空间电荷区中几乎没有载流子。(故空间电荷区311、晶 体 管 的 穿 透 电 流 ICEO 是 表 明该 管 温 度 稳 定 性 好 坏 的 参 数BECIBIEICNPN型三极管型三极管BECIBIEICPNP型三极管型三极管11、晶 体 管 的 穿 透 电 流 ICEO 是 表 明该412、晶体管三种工作状态的电压和电流、晶体管三种工作状态的电压和电流(a)放大放大+UBE 0 ICIB+UCE UBC 0+(b)截止截止IC 0 IB=0+UCE UCC UBC 0 IB+UCE 0 UBC 0+IB=020 A40 A60 A80 A100 A36IC(mA )1234UCE(V)912O饱和区饱和区截止区截止区放大区放大区IB(A)UBE(V)204060800.40.8O12、晶体管三种工作状态的电压和电流(a)放大+ICIB+5第第15章章 基本放大电路基本放大电路教学目的和要求:教学目的和要求:1、熟练掌握共集电极放大电路工作原理、静态工作、熟练掌握共集电极放大电路工作原理、静态工作点,用微变等效电路分析增益、输入和输出电阻;点,用微变等效电路分析增益、输入和输出电阻;2、熟练掌握共射极放大电路工作原理、静态工作点,、熟练掌握共射极放大电路工作原理、静态工作点,用微变等效电路分析增益、输入和输出电阻;用微变等效电路分析增益、输入和输出电阻;3、理解差动放大电路的基本概念;、理解差动放大电路的基本概念;4、理解互补对称功率放大电路分析、理解互补对称功率放大电路分析.第15章 基本放大电路教学目的和要求:1、熟练掌握共集6RB+UCCRCRB+UCCRCC1C2RBRCRLuiuo共发射极固定偏置电路共发射极固定偏置电路 对放大放大电路路进行静行静态分析的分析的主要任主要任务是确定是确定静静态工作点工作点QRB+UCCRCRB+UCCRCC1C2RBRCRLuiuo7交流负载线的作法交流负载线的作法ICUCEUCCQIB过过Q点作一条直线点作一条直线,斜率为斜率为:交流负交流负载线载线直流负直流负载线载线 固定偏置共射极放大电路固定偏置共射极放大电路,改变改变RC和和UCC对放大电路的直流对放大电路的直流负载线的影响负载线的影响:RC增大增大,Q点左移点左移,进入饱和区进入饱和区UCC减小减小,Q点亦左移点亦左移.P38 15.2.1 固定偏置共射极放大电路固定偏置共射极放大电路,RC和和UCC为定值为定值:P38 15.2.2(1)IBIIC C进入饱和区进入饱和区(2)IBIC进入截止区进入截止区交流负载线的作法ICUCEUCCQIB过Q点作一条直线,斜率8放大电路的放大电路的微变等效电路微变等效电路uiRB+UCCRCC1C2RLuo ibcrbebibeRBRCRLuiuo放大电路的微变等效电路uiRB+UCCRCC1C2RLuo9ui+UCCRB1RCRB2RERLuoI1I2IBVB1)静态分析静态分析共发射极分压式偏置电路共发射极分压式偏置电路ui+UCCRB1RCRB2RERLuoI1I2IBVB1)10RCRLrbeRE微变等效电路微变等效电路2)动态分析动态分析RCRLrbeRE微变等效电路2)动态分析11RB1+UCCRCC1C2RB2CERERLuiuoI1I2IBCE将将RE短路,短路,RE对交流不起作对交流不起作用,放大倍数用,放大倍数重新升高重新升高。增加增加CE,对静态,对静态值无影响值无影响,但对但对放大倍数有影响放大倍数有影响!RB1+UCCRCC1C2RB2CERERLuiuoI1I212rbeRCRLrbeRCRL13共集电极放大电路(共集电极放大电路(射极输出器)射极输出器)RB+UCCRCC1C2RERLuiuoRB+UCCRCRE折算折算共集电极放大电路(射极输出器)RB+UCCRCC1C2RE14rbe微变等效电路微变等效电路RE动态分析动态分析RL射极输出器的输出电射极输出器的输出电阻很小,带负载能力强。阻很小,带负载能力强。就放大作用而言,射极输出器是一种有就放大作用而言,射极输出器是一种有电流放大作用而无电压放大作用的电路电流放大作用而无电压放大作用的电路rbe微变等效电路RE动态分析RL射极输出器的输出电阻很小,15非线性失真非线性失真 如果如果Q设置不合适,晶体管进入截止区或饱和区工设置不合适,晶体管进入截止区或饱和区工作,将造成作,将造成非线性失真非线性失真。若若Q设置过高,设置过高,晶体管进入饱晶体管进入饱和区工作,造成和区工作,造成饱和失真饱和失真。Q2uo 适当减小基极适当减小基极电流可消除电流可消除饱和饱和失真。失真。UCEQuCE/VttiC C/mAICiC C/mAuCE/VOOOQ1非线性失真 如果Q设置不合适,晶体管进入截止区或饱和区工作16若若Q设置过低,设置过低,晶体管进入截晶体管进入截止区工作,造成止区工作,造成截止失真截止失真。适当增加基适当增加基极电流可消除极电流可消除截止截止失真。失真。uiuotiB B/AiB B/AuBE/VtuBE/VUBEOOOQQuCE/VtiC C/mAuCE/VOOUCE 如果如果Q设置合适,设置合适,信号幅值过大信号幅值过大也可也可产生失真,产生失真,减小信号幅值减小信号幅值可消除失真。可消除失真。若Q设置过低,晶体管进入截止区工作,造成截止失真。适17能能能能 实实实实 现现现现 交交交交 流流流流 电电电电 压压压压 放放放放 大大大大 作作作作 用用用用 的的的的 电电电电 路路路路 必必必必 须须须须 具具具具 备备备备 的的的的 三三三三 个个个个 条条条条 件:件:件:件:P86 15.2.5P86 15.2.5P86 15.2.5P86 15.2.5第一,第一,T T处于放大状态;处于放大状态;第二,有静态偏置,能有合适的静态工作点;第二,有静态偏置,能有合适的静态工作点;第三,交流信号能进得来;交流信号能出得去。第三,交流信号能进得来;交流信号能出得去。直接耦合;直接耦合;阻容耦合阻容耦合;变压器耦合。变压器耦合。多级放大电路及其级间耦合方式多级放大电路及其级间耦合方式多级放大电路及其级间耦合方式多级放大电路及其级间耦合方式对耦合电路要求:对耦合电路要求:动态动态:传送信号传送信号减少压降损失减少压降损失 静态:静态:保证保证各级各级Q点点设置设置波形不失真波形不失真1.前后级前后级Q点点相互影响相互影响:直接耦合特殊问题直接耦合特殊问题直接耦合特殊问题直接耦合特殊问题:2.零点漂移零点漂移:能 实 现 交 流 电 压 放 大 作 用 的 电 路 必 18差动放大电路差动放大电路ui1uo+UCCRCT1RBRCT2RBui2-UEERE-抑制零点漂移最抑制零点漂移最有效的电路结构。有效的电路结构。1)输入信号分类输入信号分类1差模输入差模输入:ui1=-ui2=ud共模输入共模输入:2ui1=ui2=uC 大小相等、极性相反大小相等、极性相反大小相等、极性相同大小相等、极性相同RE对差模信号对差模信号不起不起作用作用3任意输入任意输入:ui1,ui2差模分量差模分量:共模分量共模分量:uduCui1=uC+udui2=uC-ud分解分解2ui1-ui2=2ui1+ui2=差动放大电路ui1uo+UCCRCT1RBRCT2RBui219互补对称功率放大电路互补对称功率放大电路 互补对称功放的类型互补对称功放的类型 无输出变压器形式无输出变压器形式 (OTL电路)电路)无输出电容形式无输出电容形式 (OCL电路)电路)互补对称:电路中采用两支晶体管,互补对称:电路中采用两支晶体管,NPN、PNP各一支;两管特性一致。各一支;两管特性一致。类型:类型:三类放大:三类放大:甲类放大:甲类放大:Q点适中点适中,静态损耗大静态损耗大;乙类放大:乙类放大:Q点处于横坐标上点处于横坐标上,静态损耗为静态损耗为0,但存在,但存在交越失真交越失真;甲乙类放大:甲乙类放大:Q点略高于横坐标点略高于横坐标,静态损耗小静态损耗小,消除交消除交越失真。越失真。互补对称功率放大电路 20第第16章章 集成运算放大器集成运算放大器教学目的和要求:教学目的和要求:1、正确理解理想运放的特点;2、正确理解运放中的负反馈;3、熟练掌握比例、求和、减法和积分电路;4、正确理解电压比较器的工作原理第16章 集成运算放大器21电压传输特性电压传输特性 uo=f(ui)线性区:线性区:uo=Auo(u+u)非线性区:非线性区:u+u 时,时,uo=+Uo(sat)u+1 1 持续振荡的条件:持续振荡的条件:A Au uF F =1=12、自激振荡的条件、自激振荡的条件3、正弦波振荡电路的组成、正弦波振荡电路的组成(1)(1)放大电路放大电路:放大信号放大信号 (2)(2)反馈网络反馈网络:必须是必须是正反馈正反馈,反馈信号即是放大电,反馈信号即是放大电路的输入信号路的输入信号(3)(3)选频网络选频网络:保证输出为单一频率的正弦波即使电保证输出为单一频率的正弦波即使电路只在某一特定频率下满足自激振荡条件路只在某一特定频率下满足自激振荡条件 (4)(4)稳幅环节稳幅环节:使电路能从使电路能从A Au uF F 1 1 起振,过渡到起振,过渡到A Au uF F =1=1,从而达到稳幅振荡。,从而达到稳幅振荡。起振的条件:AuF 1 持续振荡的条件:34 RC振荡电路振荡电路uf+R+RFR1 C RCuO+稳定振荡条件稳定振荡条件AuF=1,|F|=1/3,则,则起振条件起振条件AuF 1,因为因为|F|=1/3,则,则 考虑到起振条件考虑到起振条件AuF 1,一般应选取一般应选取 RF 略大略大2R1。如果这个比值取得过大,会引起振荡波形严重失真。如果这个比值取得过大,会引起振荡波形严重失真。RC振荡电路uf+R+RFR1 C RCuO 35第第18章章 直流稳压电源直流稳压电源教学目的和要求:教学目的和要求:1、熟练掌握单相半波整流电路、单相全波整流电路及桥式整流电路的工作原理和整流电压的计算及整流管参数的计算;2、熟练掌握单相桥式整流电路电容滤波电路的工作原理和整流电压的估算;3、了解电感滤波电路的特点;4、熟练掌握线性稳压电路。第18章 直流稳压电源36电工电子ppt课件37近似估算近似估算:A)桥式整流电容滤波)桥式整流电容滤波U0=1.2U2;B)半波整流电容滤波)半波整流电容滤波U0=U2。(2)流过二极管瞬时电流很大流过二极管瞬时电流很大故一般选管时,取故一般选管时,取单相桥式整流加电容滤波电路的特点单相桥式整流加电容滤波电路的特点(1)输出电压输出电压U0与时间常数与时间常数RLC有关有关RLC愈大愈大电容器电容器放电愈慢放电愈慢U0(平均值平均值)愈大愈大,加电容滤波电路整流管反向电压最大值加电容滤波电路整流管反向电压最大值近似估算:A)桥式整流电容滤波U0=1.2U2;(2)流过38输出电压可调式电路输出电压可调式电路W7805132R1CICOUI76234UOR2F0070.33 33V10K0.1 输出电压可调式电路 用下图是用W7805组成的730V可调39第第19章章 晶闸管及其应用晶闸管及其应用教学目的和要求:教学目的和要求:1、了解晶闸管的构成及工作原理;2、正确理解可控整流电路工作原理及整流电压计算;3、正确理解单结晶体管及其触发电路工作原理。第19章 晶闸管及其应用40符号符号AKGi gi gigKAGT1T2(+)(-)(+)PPNNNPAGK晶晶 闸闸 管管 导导 通通 后,后,其其 正正 向向 压压 降降 约约 等等 于于 V。符号AKGi gi gigKAGT1T2(+)(-)(41晶闸管导通条件晶闸管导通条件:(同时具备以下两个条件):(同时具备以下两个条件)(1)阳极电路加正向阳极电压,即)阳极电路加正向阳极电压,即A、K间加间加正向电压;正向电压;(2)控制极电路加适当的正向电压,即)控制极电路加适当的正向电压,即G、K间加正向触发脉冲信号。间加正向触发脉冲信号。晶闸管导通后,晶闸管导通后,UGK,去掉去掉依靠正反馈,依靠正反馈,晶闸管仍维持导通状态;晶闸管仍维持导通状态;UAK加反向电压;加反向电压;晶闸管截止的条件:晶闸管截止的条件:(1)(2)减小阳极电流不能维持正反馈减小阳极电流不能维持正反馈晶闸管导通条件:(同时具备以下两个条件)(1)阳极电路加正向42特性曲线特性曲线UIURS MUDS MURRMIHUDRMIFIG1=0AIG2IG3IG3IG2IG1正向正向反向反向特性曲线UIURS MUDS MURRMIHUDRMIFIG43tu2tuGtuLtuT :控制角:控制角:导通角:导通角u1u2uTuLAGKRL单相半波可控整流电路单相半波可控整流电路tu2tuGtuLtuT:控制角:导通角u1u2uT44单相全波可控整流电路单相全波可控整流电路T1 T2D1 D2RLuLu2ABtuGtuLt uT1tu2 单相全波可控整流电路T1T2D1D2RLuLu2ABtuGt45过过流流保保护护快速熔断器:快速熔断器:电路中加快速熔断器电路中加快速熔断器;加入加入方法如下图:方法如下图:过流继电器:过流继电器:在输出端装直流过电流继在输出端装直流过电流继电器电器;过流截止电路:过流截止电路:利用电流反馈减小晶闸利用电流反馈减小晶闸管的管的 导通角或停止触发,从而切断过流导通角或停止触发,从而切断过流电路。电路。保护措施保护措施 接在接在输出端输出端 接在接在输入端输入端和晶闸和晶闸管串联管串联过快速熔断器:电路中加快速熔断器;加入方法如下图:过流继电器46阻容吸收阻容吸收硒整流堆硒整流堆过压保护过压保护(利用电容吸收过压。即(利用电容吸收过压。即 将过电压的能量变成电将过电压的能量变成电 场能量储存到电容中,场能量储存到电容中,然后由电阻消耗掉。)然后由电阻消耗掉。)(硒堆为非线性元件,(硒堆为非线性元件,过压后迅速击穿,其过压后迅速击穿,其 电阻减小,抑制过压电阻减小,抑制过压 冲击。)冲击。)CRRCRC硒硒堆堆阻容吸收硒整流堆过压保护(利用电容吸收过压。即(硒堆为非线性47第二十一章第二十一章 门电路和组合逻辑电路门电路和组合逻辑电路教学目的和要求:教学目的和要求:1、掌握逻辑代数基本定律与定理,逻辑函数的化简与变换;2、正确理解TTL门的电路结构及工作原理;3、熟练掌握组合逻辑电路的分析与设计的基本方法。第二十一章 门电路和组合逻辑电路48u 几种常用的逻辑关系几种常用的逻辑关系与非:与非:&ABCF(有(有0出出1全全1出出0)或非:或非:1ABCF异或:异或:=1ABBF(有(有1出出0全全0出出1)(相同出(相同出0不同出不同出1)同或:同或:=1ABBF(不同出(不同出0相同出相同出1)几种常用的逻辑关系与非:&ABCF(有0出1全1出0)或非49十进制与二进制之间的转换:十进制与二进制之间的转换:十十二二:整数部分除二取余整数部分除二取余,小数部分乘二取整小数部分乘二取整.二二十十:按位乘权展开按位乘权展开.225 余余1 K0122 余余0 K162 余余0 K232 余余1 K312 余余1 K40(25.25)D=(11001.01)B例例:(25.25)D=(?)B01十进制与二进制之间的转换:十二:整数部分除二取余,小数部分50几种常用的几种常用的基本代数规律基本代数规律逻辑函数的表示方法逻辑函数的表示方法 分配律分配律A+B C=(A+B)(A+C)吸收律吸收律A+AB=A 反演律(摩根定律)反演律(摩根定律)逻辑式逻辑式逻辑状态表逻辑状态表逻辑图逻辑图卡诺图卡诺图若表达式中的乘积包含了所有变量的原变若表达式中的乘积包含了所有变量的原变量或反变量,则这一项称为量或反变量,则这一项称为最小项最小项,上式中每,上式中每一项都是一项都是最小项最小项。若两个最小项只有一个变量以原、反区别,若两个最小项只有一个变量以原、反区别,称它们称它们逻辑相邻逻辑相邻。几种常用的基本代数规律逻辑函数的表示方法 分配律A+B 511、由给定的逻辑电路图写出逻辑关系、由给定的逻辑电路图写出逻辑关系表达式。表达式。分析步骤:分析步骤:2、用、用逻辑代数运算规则逻辑代数运算规则或或卡诺图卡诺图对逻对逻辑代数进行化简。辑代数进行化简。3、列出输入输出状态表并分析逻辑功、列出输入输出状态表并分析逻辑功能。能。逻辑逻辑电路电路 输入输出之间输入输出之间的逻辑关系的逻辑关系u 组合逻辑电路分析组合逻辑电路分析u组合逻辑电路的综合组合逻辑电路的综合根据逻辑功根据逻辑功能要求能要求逻辑逻辑电路电路设计设计(1)由逻辑要求,列出逻辑状态表由逻辑要求,列出逻辑状态表(2)由逻辑状态表写出逻辑表达式由逻辑状态表写出逻辑表达式(3)简化和变换逻辑表达式简化和变换逻辑表达式(4)画出逻辑图画出逻辑图设计步骤:设计步骤:分析分析1、由给定的逻辑电路图写出逻辑关系表达式。分析步骤:2、用逻52常常用用的的是是七七段段显显示示器器件件半导体数码管半导体数码管基本单元是基本单元是PN结结gabcdefga bcdefag共阴极共阴极ag共阳极共阳极 二二 十十 进进 制制 显显 示示 译译 码码 器器 用用 于于 将将 二二 进进 制制 代代 码码 译译 成十进成十进 制制 数数 字。字。常用的是七段显示器件半导体数码管基本单元是PN结gabc53第二十二章第二十二章 触发器和时序逻辑电路触发器和时序逻辑电路教学目的和要求:教学目的和要求:1、了解触发器电路结构,正确理解工作原理,熟练掌握其逻辑功能,触发方式特性和参数;2、熟练掌握时序逻辑电路基本分析方法;3、了解常用集成时序逻辑器件的逻辑功能及使用方法,正确理解工作原理;4、熟练掌握555定时器的工作原理及应用。第二十二章 触发器和时序逻辑电路54基本基本RS触发器的功能表触发器的功能表RDSDQ符号符号约束条件:约束条件:Q有效电平:低电平有效电平:低电平基本RS触发器的功能表RDSDQ符号约束条件:Q有效电平:低55可控可控RS触发器功能表触发器功能表逻辑符号逻辑符号RDSDRSCQ有效电平:高电平有效电平:高电平S SD D 、R RD D为直接置为直接置为直接置为直接置 1 1、置、置、置、置 0 0 端,不受时钟控制,低电平有效,端,不受时钟控制,低电平有效,端,不受时钟控制,低电平有效,端,不受时钟控制,低电平有效,触发器工作时触发器工作时SD、RD应接高电平。应接高电平。可控RS触发器功能表逻辑符号RDSDRSCQ有效电平:高电平56JK触发器功能表触发器功能表逻辑符号逻辑符号SDRDCQJKC C下降沿触发翻转下降沿触发翻转下降沿触发翻转下降沿触发翻转C C下降沿前接收下降沿前接收下降沿前接收下降沿前接收J J、KK信号,信号,信号,信号,下降沿时触发器翻转。下降沿时触发器翻转。下降沿时触发器翻转。下降沿时触发器翻转。JK触发器功能表逻辑符号SDRDCQJKC下降沿触发翻转C下57SDRDD CQD触发器功能表触发器功能表逻辑符号逻辑符号上升沿触上升沿触上升沿触上升沿触发翻转发翻转发翻转发翻转C C上升沿前接收信号,上升沿前接收信号,上升沿前接收信号,上升沿前接收信号,上升沿时触发器翻转,上升沿时触发器翻转,上升沿时触发器翻转,上升沿时触发器翻转,其其其其Q Q的状态与的状态与的状态与的状态与D D状态一致;但状态一致;但状态一致;但状态一致;但Q Q的状态总比的状态总比的状态总比的状态总比D D的的的的状态变化晚一步,即状态变化晚一步,即状态变化晚一步,即状态变化晚一步,即Qn+1=D;SDRDDCQD触发器功能表逻辑符号上升沿触C上升沿前接收信58T触发器触发器功能表功能表RDSDCQT逻辑符号逻辑符号T触发器功能表RDSDCQT逻辑符号5948162357uouiVCCRTC0t0t0tuiuCTuo2VCC/3TW利用利用555定时器实现单稳态触发定时器实现单稳态触发TW=R C ln3=1.1RC 555 集 成 定 时 器 构 成 的 单 稳 态 触 发 器 可 用 于 实 现 不 规 则 波 形 的 整 形48162357uouiVCCRTC0t0t0tuiuCTu6048162357R1R2CuC555uoVCC0tuouC0tVCC/32VCC/3输出波形输出波形多谐振荡器(由多谐振荡器(由555构成)构成)T=T1 +T2 =0.7(R1+2R2)C 由 555 集 成 定 时 器 构 成 的 多 谐 振 荡 器 的 功 能 是输 出 一 定 频 率 的 矩 形 波。48162357R1R2CuC555uoVCC0tuouC061试卷试卷A解析解析试卷A解析62ch14ch16ch191、工 作 在 放 大 状 态 的 双 极 型 晶 体 管 是()。(a)电 流 控 制 元 件 (b)电 压 控 制 元 件 (c)不 可 控 元 件2、电路如图所示,该电路为()。(a)积分运算电路(b)微分运算电路(c)比例积分运算电路3、集 成 运 放 级 间 耦 合 方 式 是()。(a)直 接 耦 合 (b)变压 器 耦 合 (c)阻 容 耦 合4、某 半 导 体 器 件 的 内 部 结 构 示 意 图 如 下图 所 示,经 判 断 该 器 件 应 是()。(a)晶 体 管(b)晶 闸 管(c)场 效 应 管ch16ch14ch16ch191、工 作 在 放 大 状 态 635、几 种 类 型 的LC 振 荡 电 路 如 图 所 示,电 感 三 点 式 振 荡 电 路 是 指 下 列 图 中()。6、振 荡 器 之 所 以 能 获 得 单 一 频 率 的 正弦 波 输 出 电 压,是 依 靠 了 振 荡 器 中 的()。(a)基 本 放 大 电 路 环 节(b)正 反 馈 环 节(c)选 频 环 节ch17ch175、几 种 类 型 的LC 振 荡 电 路 如 图 所 示647、由 开 关 组 成 的 逻 辑 电 路 如 图 所 示,设开 关 A、B 分 别 有 如 图所 示 为“0”和“1”两 个 状态,则 电 灯亮 的 逻 辑 式 为()。(a)(b)(c)ch208、某 计 数 器 最 大 输 入 脉 冲 数 为 12,组 成 该 计数 器 所 需 最 少 的 触 发 器 个 数 为()。(a)2 (b)3 (c)49、寄 存 器 是 一 种()。(a)实 现 计 数 的 时 序 逻 辑 电 路(b)存 放 数 码 的 时 序 逻 辑 电 路(c)实 现 编 码 的 组 合 逻 辑 电 路ch21ch217、由 开 关 组 成 的 逻 辑 电 路 如 图 所 示,6510、逻 辑 电 路 如 图 所 示,A=B=“1”,脉 冲 来 到 后 D 触 发 器()。(a)具 有 计 数 功 能 (b)保 持 原 状 态 (c)置“1”(d)置“0”110011ch2111、逻 辑 电 路 如 图 所 示,当 A=“1”,B=“1”时,脉 冲 来 到 后 JK 触 发 器()。(a)具 有 计 数 功 能 (b)保 持 原 状 态 (c)置“0”(d)置“1”110011ch2110、逻 辑 电 路 如 图 所 示,A=B=“1”,脉 6612、电 路 如 图 所 示,二 极 管 型 号 为 2CP11,设 电 压 表 内 阻 为 无 穷 大,电 阻 R=5 k,则 电 压 表 V 的 读数 约 为()。(a)10 V (b)0V (c)0.7 Vch1413、同 相 输 入 比 例 运 算 放 大 器 电路 中 的 反 馈 极 性 和 类 型 属 于()。(a)正 反 馈 (b)串 联 电 流 负 反 馈(c)并 联 电 压 负 反 馈 (d)串 联 电 压 负 反 馈+u uf f+u ud d u uo oR RF Fu ui iR R2 2R R1 1+R RL Lch16ch1712、电 路 如 图 所 示,二 极 管 型 号 为 2C6714、在 电 容 滤 波 电 路 中,若 滤 波 电 容 不 变,为 了 使 输 出 电 压 的 脉 动 程 度最 小,则 负 载 电 阻 阻 值 应 该()。(a)大(b)较 大 (c)小 (d)较 小ch1815、整 流 电 路 如 图1 所 示,输 入 电 压 ,输 出 电 压 的 波 形 是 图2中()。ch1814、在 电 容 滤 波 电 路 中,若 滤 波 电 6816、由555 集 成 定 时器 组 成 的 电 路 如 图 所 示,该 电 路 是()。(a)单 稳 态 触 发 器 (b)双 稳 态 触 发 器(c)多 谐 振 荡 器ch2116、由555 集 成 定 时器 组 成 的 电 路 如 6917、电路如图所示,已知R1 5k,R215k,R3 10k,RC 2k,RE 2k,当电阻R2 不慎被短路后,(如图),该电路中的晶体管处于 。截止状态 (b)放大状态 (c)饱和状态ch1517、电路如图所示,已知R1 5k,R215k,R370电 路 如 图 所 示,要 求:(1)找 出 级 间 交 流 反 馈 元 件,并 判 断 反 馈 极 性(正,负 反 馈)和 类 型;(2)若 =0 时,上述交流反馈是否存在?(1)R为 交 流 反 馈 元 件,电 压 并 联 负 反馈;(2)若 ,交 流 反 馈 不 存 在。ch17电 路 如 图 所 示,要 求:(1)找 出 级 71 整 流 电 路 如 图 所 示,二 极 管 为 理 想 元 件,已 知 负 载 电 阻 ,负 载 两 端 直 流 电 压 试 求:(1)交流 电 压 表(V)和 交 流 电 流 表(A)的 读 数(设 电 压 表 内 阻 为 无 穷 大,电 流 表 内 阻 为 零)。(2)在 下 表 中 选 出合 适 型 号 的 二 极 管。(V)的 读 数 为 33.33V(A)的 读 数 为0.167A因 此 选 ch18 整 流 电 路 如 图 所 示,二 极 管 为 理72ch21 已 知 逻 辑 图 和输 入A,B,C 的 波 形 如 图 所 示,试 画 出 输 出F 的 波 形,写 出 其 逻 辑 式并 化 简 之。ch21 已 知 逻 辑 图 和输 入A,B,C 的 73 某 电 阻 性 负 载 采 用 单 相 半 波 可 控 整 流 电 路,交 流 电 源 电 压 ,当 控 制 角=0时,输 出 电 压 平 均 值 ,若控 制 角=90时,输 出 电 压 平 均 值 是 多 少?并 画 出 单 相 半波 可 控 整 流 电 路。当=90 时=60V ch19 某 电 阻 性 负 载 采 用 单 相 半 波 可 74ch15 电路如图所示,已知 ,要求:(1)今测得 ,试求基极电流 、发射极电流 以及晶体管的(2)画出微变等效电路;(3)写出电压放大倍数的公式。ch15 75ch16在下图运算电路中,已知 k,k,k,k,mV,求 。ch1676ch21 逻 辑 电 路 如 图 所 示,已 知 触 发 器 初 始 状 态 均 为“0”,试 写 出 各 触 发器 J、K及C的 逻辑 表 达 式,列 出 逻 辑 图 的 状 态 表,判 断 是 几 进 制 计 数 器,同 步 还 是 异 步?同步五进制计数器 ch21 逻 辑 电 路 如 图 所 示,已 知 触77试卷试卷B解析解析试卷B解析781、电 路 如 图 所 示,所 有 二 极 管 均 为 理 想 元 件,则 D1、D2、D3的 工 作 状 态 为()。(a)D1导 通,D2、D3截 止(b)D1、D2截 止,D3 导 通(c)D1、D3截 止,D2导 通(d)D1、D2、D3均 截 止2、射极输出器电路中,输出电压与输入电压ui之间的关系是()。(a)两者反相,输出电压大于输出电压(b)两者同相,输出电压近似等于输入电压(c)两者相位差90,且大小相等ch14ch153、为了放大变化缓慢的信号或直流信号,多级放大器级与级之间必须采用()。(a)阻容耦合 (b)变压器耦合 (c)直接耦合ch151、电 路 如 图 所 示,所 有 二 极 管 均 为794、振 荡 电 路 如左 图 所 示,选 频 网 络 是 由()。(a)L1、C1组 成 的 电 路(b)L、C组 成 的 电 路(c)L2、R2组 成 的 电 路ch175、正 弦 波 振 荡 器 如右 图 所 示,为 了 获 得 频 率 可 调 的 输 出 电 压,则 应 该 调 节 的 电 阻 是()。(a)(b)(c)ch174、振 荡 电 路 如左 图 所 示,选 频 网 络 是 806、模 拟 电 路 中 晶 体 管 大 多 工 作 于()。(a)放 大 状 态(b)开 关状 态(c)击 穿状 态7、数 字 电 路 中 的 工 作 信 号 为()。(a)随 时 间 连 续 变 化 的 电 信 号 (b)脉 冲 信 号 (c)直 流 信 号ch20ch208、逻 辑 电 路 如 图 所示,分 析 图 中C,J,K 的 波 形。当 初 始 状 态 为“0”时,输 出 Q 是“1”的 瞬 间 为()。(a)(b)(c)ch216、模 拟 电 路 中 晶 体 管 大 多 工 作 于(819、分 析 时 序 逻 辑 电 路 的 状 态 表,可 知 它 是 一 只()。(a)四 进 制 计 数 器(b)八 进 制 计 数 器(c)十 进 制 计 数 器ch219、分 析 时 序 逻 辑 电 路 的 状 态 表,可 8210、电 路 如 图 所 示,设 二 极 管 D1,D2,D3 的 正 向 压 降 忽 略 不 计,则 输 出 电 压 uO=()。(a)2V (b)0V (c)6V (d)12Vch1411、电 路 如 图 所 示,RF 引 入 的 反 馈 为()。(a)串 联 电 压 负 反 馈 (b)串 联 电 流 负 反 馈(c)并 联 电 压 负 反 馈 (d)并 联 电 流 负 反 馈12、一 个 正 弦 波 振 荡 器 的 反 馈 系 数 ,若 该 振 荡 器 能 够 维 持 稳 定 振 荡,则 开 环 电 压 放 大 倍 数 必 须 等 于()。(a)(b)(c)ch17ch1710、电 路 如 图 所 示,设 二 极 管 D1,D2,8313、整 流 电 路 如 图 所 示,直 流电 压 表V(内 阻 设 为 无 穷 大)的 读 数 均 为90 V,二 极 管 承 受 的 最 高 反 向 电 压 为141 V 的 电 路 是 下 列 图 中()。ch1813、整 流 电 路 如 图 所 示,直 流电 压 表8414、晶 闸 管 导 通 后,其 正 向 压 降 约 等 于()。(a)零 (b)0.3 V (c)1 V 左 右 ch1915、电 路 如 图 所 示,设 晶 体 管 工 作 在 放 大 状 态,欲 使 静 态 电 流IC 减 小,则 应(。(a)保 持 UCC,RB 一 定,减 小 RC(b)保 持UCC,RC 一 定,增 大 RB(c)保 持 RB,RC 一 定,增 大 UCCch1514、晶 闸 管 导 通 后,其 正 向 压 降 约8516、逻 辑 电 路 如 图 所 示,输 入 为 X,Y,同 它 功 能 相 同 的 是()。(a)可 控 RS 触 发 器 (b)JK 触 发 器 (c)基 本 RS 触 发 器 (d)T 触 发 器ch2116、逻 辑 电 路 如 图 所 示,输 入 为 X,Y 86图 示 放 大 电 路 中,三 极 管 的=50,UBE=0.6 V,输 入 中 频 信 号 。试 问:(1)当 时,三 极 管 的 发 射 结 是 否 处 于 反 向 偏 置?为 什 么?(2)画出微变等效电路;(3)写出电压放大倍数的公式。不 处 于 反 向 偏 置。因 静 态 时 ch15图 示 放 大 电 路 中,三 极 管 的=50,UB87 已 知 逻 辑 电 路 图 和 C 脉 冲 的 波 形,试 画 出 输 出 ,的 波 形 图(设 ,初 始 状 态 均 为“0”)。ch21 已 知 逻 辑 电 路 图 和 C 脉 冲 的 波88 电 路 如 图 1 所 示,交 流 电 压 的 波 形 如 图 2 所 示,画 出 当 控 制 角=90 时,负 载 电 阻RL两 端 电 压 的 波 形。ch19 电 路 如 图 1 所 示,交 流 电 压 的 89 电 路 如 图 所 示,若 引 入 级 间 负 反 馈,试 分 析 图 中M,N,P 三 点 中 哪 两 点 应 连 接 在 一 起,并 判 断 所 引 入 的 反 馈 极 性(正,负 反 馈)和类 型。N 点 与P 点 两 点 连 接构 成 级 间 串 联 电 压 负 反 馈。ch17 电 路 如 图 所 示,若 引 入 级 间 90电 路 如 图 所 示,运放 的 电 源 电 压 为 ,试 求:(1)是 多 少?(2)接 通 电 源 电 压 后,输 出 电 压 由 0上 升 到 所 需 的 时 间 是 多 少?由0 上 升 到 时 ch16由0 上 升 到 时 ch1691 单 相 桥 式 整 流 电 容 滤 波 电 路 的 外 特 性 曲 线 如 图 所 示,其 中U2为整 流 变 压 器 副 边 电 压 有 效 值,试 分 别 定 性 画 出A、B、C三 点 所 对 应 的 输 出 电 压 的波 形 图。ch18 单 相 桥 式 整 流 电 容 滤 波 电 路 的 外 92逻 辑 电 路 如 图 所 示,分 别 写 出 两 图 的 逻 辑 表 达 式。ch20逻 辑 电 路 如 图 所 示,分 别 写 出 两 图 的 93
展开阅读全文
相关资源
相关搜索

最新文档


当前位置:首页 > 办公文档 > 教学培训


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!