集成电路CAD概述习题

上传人:san****019 文档编号:21170650 上传时间:2021-04-25 格式:PPT 页数:54 大小:234.51KB
返回 下载 相关 举报
集成电路CAD概述习题_第1页
第1页 / 共54页
集成电路CAD概述习题_第2页
第2页 / 共54页
集成电路CAD概述习题_第3页
第3页 / 共54页
点击查看更多>>
资源描述
第 一 章 集 成 电 路 (IC)设 计 及 集 成 电路 CAD工 具 应 用 概 述 第 三 节 集 成 电 路 概 述 1.1.1计 算 机 辅 助 技 术 术 语 荟 萃CAX(Computer Aided X)计 算 机 辅 助技 术CAD(Computer Aided Design)计 算 机辅 助 设 计CAM(Computer Aided Manufacturing)计 算 机 辅 助 制 造 CAPP计 算 机 辅 助 工 艺 规 划(Computer Aided Process Programming) CIMS计 算 机 集 成 制 造 系 统(Computer Aided Manufacturing System)CAE计 算 机 辅 助 工 程(Computer Aided Engineerring)CAT计 算 机 辅 助 测 试 (Computer Aided Test) 1.3.2 EDA工 具 的发 展 与 现 状集 成 电 路 最 重 要 的 生 产 过 程 包 括1.开 发 EDA(电 子 设 计 自 动 化 )工 具2.利 用 EDA进 行 集 成 电 路 设 计 ;3.根 据 设 计 结 果 在 硅 圆 片 上 加 工 芯片 ; 4.对 加 工 完 毕 的 芯 片 进 行 测 试 ;5.对 芯 片 进 行 封 装 ;最 后 经 应 用 开 发 将 其 装 备 到 整 机 系统 上 与 最 终 消 费 者 见 面 。 第 一 代 用 于 IC设 计 的 CAD工 具 出现 于 20世 纪 60年 代 末 70年 代 初 ,只 能 用 于 芯 片 的 版 图 设 计 及 版 图 设计 规 则 检 查 , 尚 不 能 提 供 电 器 规 则检 查 以 保 证 所 设 计 的 版 图 满 足 电 路性 能 的 要 求 。 20世 纪 80年 代 , 工 作 站( Workstation) 推 出 , 一 些 CAD公 司推 出 了 第 二 代 CAD系 统 。 这 些 软 件 不仅 具 有 图 形 处 理 能 力 , 而 且 还 有 原 理 图输 入 和 模 拟 能 力 。 随 着 pc机 的 普 及 , 这种 IC CAD开 发 工 具 又 很 快 进 入 到 个 人 计算 机 领 域 。 第 三 代 , 称 之 为 电 子 设 计 自动 化 ( EDA:Electronic-System Design Automation) 系 统 。 目前 , 设 计 工 具 已 从 CAD技 术 发 展到 了 EDA技 术 . EDA即 电 子 设 计 自 动 化 , EDA技术 的 发 展 是 以 计 算 机 科 学 、 微 电子 技 术 的 发 展 为 基 础 , 并 汇 集 了计 算 机 图 形 学 、 拓 扑 学 和 计 算 数学 等 众 多 学 科 的 最 新 成 果 发 展 起来 的 。 主 要 标 志 是 系 统 及 设 计 工 具的 推 出 ( 包 括 目 前 已 广 泛 流 行的 硬 件 描 述 语 言 VHDL和Verilog机 器 模 拟 器 ) 和 逻 辑设 计 工 具 的 广 泛 应 用 。 这 些 EDA系 统 功 能 覆 盖 了 电 子 产 品 的 设计 全 过 程 , 从 系 统 描 述 输 入 、 综 合 、 模拟 、 布 图 、 验 证 、 到 测 试 都 有 各 种 各 样的 CAD工 具 , 系 统 的 设 计 能 力 已 经 达 到每 个 芯 片 几 十 万 到 上 百 万 门 。 在 现 代 电子 设 计 中 , EDA技 术 已 经 成 为 一 种 普 遍的 工 具 , 对 设 计 者 而 言 , 熟 练 的 掌 握EDA技 术 , 可 以 大 大 提 高 工 作 效 率 。 近 年 来 , EDA设 计 工 具 根 据 市 场 需 求 迅速 发 展 , 出 现 了 专 门 的 EDA工 具 供 应 商 ,目 前 , EDA主 要 市 场 份 额 为 美 国 的Cadence、 Synopsys和 Mentor等 少 数企 业 所 垄 断 , 中 国 华 大 集 成 电 路 设 计 中心 是 国 内 唯 一 一 家 EDA开 发 和 产 品 供 应商 。 EDA设 计 条 件1) 硬 件 : 高 性 能 的 计 算 机 , 包括 工 作 站 ( Working Station) ,应 用 的 操 作 系 统 是 UNIX, 还 有 高性 能 的 PC机 , 应 用 的 操 作 系 统 有两 种 , Linux和 Windows。 工 作 站诞 生 于 20世 纪 80年 代品 种 :SUN,IBM,DEC,HP,SGI等 公 司 的 产 品 2)软 件 工 作 站 EDA软 件 ,微 机 EDA软 件 。 3) IC设 计 工 程 师 了 解 电 路 基 础 知 识 。 至少 掌 握 一 门 硬 件 语 言 , 熟悉 工 具 使 用 , 对 所 作 的 项目 有 一 个 全 面 的 了 解 。 1.3.3 目 前 应 用 广 泛 的EDA工 具1、 Cadence EDA软 件2、 Synopsys EDA软 件3、 Mentor EDA 4、 Zeni EDA软 件 安 装 在 工 作 站 上 的 软 件 EDA工 具 仍 以 工 作 站 作为 主 要 安 装 平 台 , 尽 管 微机 非 常 普 及 , 但 是 由 于 多种 原 因 , 安 装 在 工 作 站 上的 软 件 普 遍 功 能 较 全 。 1、 Cadence EDA软 件Cadence公 司 成 立 于1987年 , 是 世 界 EDA业 界 的 著 名 公 司 之 一 。 Cadence是 一 个大 型 的 EDA软 件 , 包括 了 ASIC设 计 整 个 流程 所 需 的 工 具 。 电 路 图 设 计 工 具 Composer数 字 仿 真 工 具 Verilog-xl布 局 布 线 工 具 Silicon Ensemble 电 路 模 拟 工 具 Analog Artist版 图 设 计 工 具 Virtuoso Layout Editor版 图 验 证 工 具 Dracula Cadence的 综 合 工 具 与 Synopsys的 相 比 略 有 逊 色 , 一 般 工 作 站 上 的综 合 都 是 由 DC来 完 成 。 所 以Cadence与 Synopsys的 结 合 可 以说 是 EDA 设 计 领 域 的 黄 金 搭 档 。 图 1 cadence的 CIW用 户 界 面启 动 Cadence 软 件 的 命 令 常 用 的有 icfb,icms等 2、 Synopsys EDA软 件Synopsys公 司 在 EDA业 界 以 它 的 综 合 工 具 而著 称 。 Synopsys提 倡高 层 设 计 , 使 用 该 公 司的 综 合 工 具 。 3、 Mentor EDA Mentor EDA公 司 创 立 于 1981年 ,具 有 EDA全 线 产 品 , 包 括 以 下 工 具 :设 计 图 输 入数 字 电 路 设 计 工 具模 拟 电 路 分 析 工 具 数 /模 混 合 电 路 分 析 工 具逻 辑 综 合 工 具故 障 分 析 模 拟 工 具 、 PCB设 计 、ASIC设 计 与 校 验 、 自 动 测 试 矢量 生 成 ( ATPG) 、 系 统 设 计工 具 、 数 字 信 号 处 理 ( DSP)工 具 、 FPGA设 计 工 具 等 。 4、 Zeni EDA软 件 九 天 ( Zeni) 系 统 是 熊 猫 ( Panda)系 统 的 改 进 版 。 熊 猫 系 统 是 我 国 在20世 纪 80年 代 后 期 自 主 开 发 的 面 向全 定 制 合 半 定 制 大 规 模 集 成 电 路 而 设计 的 , 具 有 可 支 持 10万 个 元 件 规 模设 计 能 力 的 大 型 集 成 电 路 计 算 机 辅 助设 计 系 统 。 Viewlogic电 路 设 计 工 具 Tanner Tools ASIC开 发 工 具 OrCAD综 合 电 子 CAD工 具 微 机 软 件 Viewdraw-电 路 原 理 图 绘 制Viewsim功 能 模 拟 , 时 序 仿 真Viewgen从 网 表 生 成 原 理 图Viewfault故 障 仿 真 器SpeedwareVHDL语 言 设 计 环 境一 般 前 端 电 路 设 计 应 用 较 多Viewlogic电 路 设 计 工 具 Tanner Tools ASIC开 发 工 具也 能 用 于 逻 辑 模 拟 , 后 端 版 图 设 计 是它 的 强 项 和 重 点 。 主 要 有 以 下 工 具 :全 定 制 版 图 编 辑 工 具 : L-Edit,其 中有 SPP标 准 单 元 布 局 布 线 ,DRC设 计 规则 检 查 ,LPE版 图 参 数 提 取 ,LVS版 图 电路 验 证 。 OrCAD综 合 电 子 CAD工 具原 理 图 设 计 工 具 OrCAD/Capture数 字 /模 拟 电 路 仿 真 工 具OrCAD/PspicePCB版 图 设 计 工 具 OrCAD/layout. 另 外仿 真 工 具 modelsim面 向 ASIC的 NT版 本 的 综合 工 具 DC Compiler 第 四 节 习 题 与 讨 论 集 成 电 路 (Integrated Circuit)是 指 通 过 一 系 列 特 定 的 加 工 工 艺 ,将 晶 体 管 、 二 极 管 等 有 元 器 件 和 电阻 、 电 容 、 电 感 等 无 源 器 件 , 按 着一 定 的 电 路 互 连 , “ 集 成 ” 在 一 块半 导 体 晶 片 ( 如 硅 或 砷 化 镓 ) 上 ,封 装 在 一 个 外 壳 内 , 执 行 特 定 电 路或 系 统 功 能 的 一 种 器 件 。 1、 集 成 电 路 的 基 本 概 念 ? 2、 集 成 电 路 CAD的 含 义 ,目 前 发 展 阶 段 ? Integrated Circuit Computer Aided Design EDA 3、 写 出 英 文 缩 写 的 全 称 : IC VLSI IC : Integrated Circuit VLSI: Very Large Scale Integration Circuit 1) 按 器 件 结 构 类 型 :双 极 集 成 电 路MOS集 成 电 路BiCMOS集 成 电 路4、 述 集 成 电 路 的 几 种 主 要 分 类方 法 小 规 模 集 成 电 路 (SSI:Small Scale Integration) 中 规 模 集 成 电 路 (MSI:Medium Scale Integration ) 大 规 模 集 成 电 路 (LSI:Large Scale Integration ) 超 大 规 模 集 成 电 路 (VLSI:Very Large Scale Integration ) 特 大 规 模 集 成 电 路 (ULSI:Ultra Scale Integration) 巨 大 规 模 集 成 电 路 (GLSI:Giant Scale Integration)2) 按 集 成 度 ( 规 模 ) 分 类 : 单 片 集 成 电 路混 合 集 成 电 路3) 按 基 片 材 料 分 : 4) 按 电 路 的 功 能 分 :数 字 集 成 电 路模 拟 集 成 电 路数 模 混 合 集 成 电 路 5) 按 应 用 领 域 分 :标 准 通 用 集 成 电 路专 用 集 成 电 路 5、 按 设 计 步 骤 分 集 成 电 路设 计 方 法 分 几 类 ? 都 是 什 么 ?自 顶 向 下 ( top-down)自 底 向 上 (Bottom -up) 6、 试 述 集 成 电 路 设 计 流 程 ?ASIC的 一 般 设 计 步 骤 : 设 计 输 入 : 采 用 草 图 或 硬 件 描 述 语 言HDL(Hardware Description Language)的 方 式将 电 子 系 统 输 入 到 ASIC设 计 系 统 。 功 能 仿 真 逻 辑 综 合 : 对 于 HDL输 入 方 式 , 采 用 逻 辑 综合 工 具 建 立 网 表 对 逻 辑 单 元 和 其 相 互 连 接的 描 述 。 布 局 前 模 拟 : 监 查 系 统 功 能 的 正 确 性 。 布 局 : 确 定 功 能 块 中 每 个 单 元 的 位 置 。 布 线 : 对 功 能 块 之 间 和 单 元 之 间 进 行 布 线 。 参 数 提 取 : 确 定 各 个 连 接 处 的 电 阻 和 电 容 等电 学 参 数 值 。 布 局 后 模 拟 ( 后 仿 真 ) : 加 入 世 不 拒 不 嫌 增加 的 各 种 寄 生 电 学 参 数 之 后 , 再 次 检 查 电 子 系统 能 否 正 常 工 作 。 7、 集 成 电 路 设 计 方 法 ?1.全 定 制 设 计 方 法 (Full-Custum Design Approach)2.半 定 制 设 计 方 法 ( Semi-Custom Design Approach) 8、 衡 量 集 成 电 路 发 展 水 平指 标 ?1、 集 成 规 模 ( Integration Scale)2、 特 征 尺 寸 (Feature Size) 9、 摩 尔 定 律芯 片 上 晶 体 管 数 目 每 隔 18个月 翻 一 番 或 每 两 三 年 翻 两 番 ,这 一 关 系 称 为 摩 尔 定 律( Moore s Law) 10、 趋 势 ?高 密 度高 I/O引 脚 数高 系 统 时 钟 频 率低 功 耗先 进 封 装 11、 设 计 要 素 ?高 素 质 的 工 程 师 完 善 的 EDA工 具 高 质 量 的 设 计 库 12、 半 定 制 设 计 方 法 有 那几 种 ? 门 阵 列 ( GA:Gate Array) 法门 海 (Sea Of Gate)法标 准 单 元 ( SC:Standard Cell) 法 积 木 法 ( BB:Building Block) 可 编 程 逻 辑 器 件 ( PLD:Programmable Logic Device) 设 计 方 法 。 13、 标 准 单 元 法 的 特 点 ?等 高 不 等 宽 14、 列 出 主 要 的 EDA工 具 ?1、 Cadence EDA软 件2、 Synopsys EDA软 件 3、 Mentor EDA软 件4、 Zeni EDA软 件
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!