电路设计:第1章 电路测试基础

上传人:努力****83 文档编号:190718864 上传时间:2023-02-28 格式:PPT 页数:51 大小:2.36MB
返回 下载 相关 举报
电路设计:第1章 电路测试基础_第1页
第1页 / 共51页
电路设计:第1章 电路测试基础_第2页
第2页 / 共51页
电路设计:第1章 电路测试基础_第3页
第3页 / 共51页
点击查看更多>>
资源描述
第第1 1章章 电路测试基础电路测试基础u集成电路设计就是在五个因素之间的优化:集成电路设计就是在五个因素之间的优化:面积、速度、功耗、可测性设计、成本面积、速度、功耗、可测性设计、成本u可测性设计可测性设计(DFT)技术方面的内涵:技术方面的内涵:1)插入逻辑结构使得电路容易测试)插入逻辑结构使得电路容易测试2)自动测试图形生成)自动测试图形生成(ATPG)南京航空航天大学 信息科学与技术学院 电子工程系1.1 验证、模拟和测试验证、模拟和测试在电路的在电路的设计设计生产生产各个过程中,检验电路所使用的方法各个过程中,检验电路所使用的方法和手段:和手段:设计验证、模拟、仿真和测试。设计验证、模拟、仿真和测试。第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系1.1.1 验证验证 设计验证设计验证:电路未实现前所进行的测试。电路未实现前所进行的测试。验证的目的是保证所设计电路符合设计要求。VLSI设计流程中对同一电路的设计流程中对同一电路的多种描述(多种描述(行为级、行为级、RTLRTL级、门级、门级和版图级级和版图级描述)表达了同一表达了同一电路不同的方面,在不同项之电路不同的方面,在不同项之间映射时可能会出现一些错误间映射时可能会出现一些错误,每一阶段都应验证。,每一阶段都应验证。逻辑级的三个域逻辑级的三个域结构域的不同级结构域的不同级第第1 1章章 电路测试基础电路测试基础 电路设计可分为电路设计可分为3个层次:个层次:系统设计、逻辑设计、物理设计。系统设计、逻辑设计、物理设计。设计过程是使用设计过程是使用EDA工具工具:基于给定域和给定级的设计。基于给定域和给定级的设计。设计过程实质上反映了设计过程实质上反映了技技术水平术水平和和EDA工具工具的运用的运用程度程度。设计的每一个阶段都应验证设计的每一个阶段都应验证 南京航空航天大学 信息科学与技术学院 电子工程系模拟:模拟:最常用的设计验证手段最常用的设计验证手段(由由EDA工具中的模拟器完成)工具中的模拟器完成)功能模拟功能模拟 检验电路的每一个检验电路的每一个功能块是否达到设计的要求。是对功能块是否达到设计的要求。是对电路无工作速度要求情况下所进行电路无工作速度要求情况下所进行的验证,不考虑延迟效应。的验证,不考虑延迟效应。时间模拟时间模拟 用用EDA工具库中的工具库中的标准单元,对每个单元的基本逻辑标准单元,对每个单元的基本逻辑功能及其传播延迟都进行了描述,功能及其传播延迟都进行了描述,模拟时对电路中的各个门施加一定模拟时对电路中的各个门施加一定的延迟,然后与功能验证结合进行的延迟,然后与功能验证结合进行验证或单独进行验证。验证或单独进行验证。第第1 1章章 电路测试基础电路测试基础 设计验证设计验证 南京航空航天大学 信息科学与技术学院 电子工程系当产品制造出之后,通过施加激励和检查响应来验证产品。当产品制造出之后,通过施加激励和检查响应来验证产品。与设计验证不同,生产测试用来验证产品制造的正确与否。与设计验证不同,生产测试用来验证产品制造的正确与否。生产测试主要有两种方式:生产测试主要有两种方式:参数测试参数测试(parametric testing)验证电路的参数是否符验证电路的参数是否符合要求,合要求,如对电流和电压等参数进行测量。如对电流和电压等参数进行测量。功能测试功能测试(functional testing)是本课程研究的内容是本课程研究的内容,包括对电路中出现的故障进行定义、故障建模与描述方法、包括对电路中出现的故障进行定义、故障建模与描述方法、故障检测方法等。故障检测方法等。生产测试与设计紧密相关的内容:生产测试与设计紧密相关的内容:(1 1)如何在设计阶段提供产品可测试的数据)如何在设计阶段提供产品可测试的数据 (2 2)如何实现可测性设计)如何实现可测性设计生产测试中,常用生产测试中,常用测试图形测试图形来检测电路中的故障和故障定位。来检测电路中的故障和故障定位。在早期的数字电路测试中,测试生成是在门级生成的,现在在早期的数字电路测试中,测试生成是在门级生成的,现在VLSI技术下,是可测试性设计技术下,是可测试性设计(DFT)与产品设计同步进行。与产品设计同步进行。1.1.2 生产测试生产测试第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系1.1.3 可测性设计(可测性设计(DFT)采用采用可测性设计方法可测性设计方法设计的电路,其内部嵌入测试机构,设计的电路,其内部嵌入测试机构,使得测试更为容易和高效,测试也成为设计优化的一部分。使得测试更为容易和高效,测试也成为设计优化的一部分。第第1 1章章 电路测试基础电路测试基础 图图(a)(a)产品测试产品测试u许多许多EDA工具可以自动完成工具可以自动完成DFT、BIST和和ATPG任务任务u利用适于利用适于Verilog和和VHDL语言的语言的RTL级可级可测性分析工具,检测编测性分析工具,检测编写的代码,可在逻辑综写的代码,可在逻辑综合和后续步骤之前发现合和后续步骤之前发现并解决常见的设计结构并解决常见的设计结构问题:问题:异步置位异步置位/复位电路、门复位电路、门级或内部生成时钟、混级或内部生成时钟、混合和时序反馈回路等。合和时序反馈回路等。南京航空航天大学 信息科学与技术学院 电子工程系图图(c)ATE测试测试图图(b)BIST测试测试第第1 1章章 电路测试基础电路测试基础 第第1 1章章 电路测试基础电路测试基础 DFT流程流程 南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 1.1.4 仿真仿真1.1.5 验证与生产测试之比较验证与生产测试之比较 仿真验证系统仿真验证系统是为芯片设计提供一个与真实环境相同或相似是为芯片设计提供一个与真实环境相同或相似的验证环境,及早发现设计上的错误。的验证环境,及早发现设计上的错误。仿真研究的难点仿真研究的难点是建立优化的软硬件模型或模块,构造新的是建立优化的软硬件模型或模块,构造新的测试环境,实现测试开发平台、测试环境,实现测试开发平台、EDA工具和工具和ATE之间各种测试之间各种测试生成和分析数据流无缝链接。生成和分析数据流无缝链接。1.2 1.2 故障及故障检测故障及故障检测1.2.1 故障检测的基本原理故障检测的基本原理 在电路检测中,用故障来描述电路中的错误,用测试图形在电路检测中,用故障来描述电路中的错误,用测试图形来检测故障。来检测故障。电路设计和制造的正确与否是通过故障检测来实现的。电路设计和制造的正确与否是通过故障检测来实现的。故障检测故障检测就是对输入端就是对输入端施加信号施加信号,观察输出响应观察输出响应,比较比较该该输出响应和无故障时理想的输出响应。如果二者不同,则说明输出响应和无故障时理想的输出响应。如果二者不同,则说明检测到电路故障。检测到电路故障。测试的主要过程在于测试的主要过程在于测试生成测试生成和和测试施加测试施加。南京航空航天大学 信息科学与技术学院 电子工程系故障检测故障检测第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系固定型故障固定型故障 此故障模型描述的失效机理:此故障模型描述的失效机理:A与地短接时,不论何种逻辑与地短接时,不论何种逻辑信号加到与非门的输入端上,它实现的功能都从信号加到与非门的输入端上,它实现的功能都从 变变为为Z=1。ABZ (a)(b)第第1 1章章 电路测试基础电路测试基础 图图(a)为为CMOS与非门与非门的输入的输入A接地故障,用接地故障,用s-a-0表示这个表示这个固定固定0故障(故障(简记为简记为A/0)。)。图图(b)表示表示TTL反相器反相器中输入固定为中输入固定为0的情形,描述这个现的情形,描述这个现象的故障模型也是象的故障模型也是s-a-0故障,此故障使得输出保持逻辑故障,此故障使得输出保持逻辑1。对于图对于图(a)的与非门电路:的与非门电路:输入、无故障时的输出响应、输入、无故障时的输出响应、故障类型故障类型及及有故障的输出响应有故障的输出响应列表如下。列表如下。输入输入无故无故障时障时响应响应 有故障时响应有故障时响应ABA/0B/0Z/0A/1B/1Z/1001110111011110011101110101110110001与非门的故障及故障检测与非门的故障及故障检测 南京航空航天大学 信息科学与技术学院 电子工程系有故障时的输出响应并非总是与无故障时的输出响应不同。有故障时的输出响应并非总是与无故障时的输出响应不同。结论:结论:一个故障可由多个测试图形检测到(一个故障可由多个测试图形检测到(如测试图形如测试图形00,01,1000,01,10都可以检测都可以检测Z/0Z/0故障故障);一个测试图形可用来检测多个故障,但不);一个测试图形可用来检测多个故障,但不能故障定位能故障定位(如测试图形如测试图形1111可以检测可以检测Z/1,A/0,B/0Z/1,A/0,B/0故障故障)。第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系1.2.2 1.2.2 测试图形生成和测试施加测试图形生成和测试施加u 寻找寻找一个电路故障的一个电路故障的测试图形测试图形的过程非常繁琐、费时。的过程非常繁琐、费时。实际的电路具有成千上万的门或线。实际的电路具有成千上万的门或线。对于组合电路,一个测对于组合电路,一个测试图形足以检测到一个故障;对于时序电路,需一组测试图形序试图形足以检测到一个故障;对于时序电路,需一组测试图形序列才可能检测到一个故障,列才可能检测到一个故障,因为对时序电路的故障效应进行传播因为对时序电路的故障效应进行传播前,需先把电路引导到确定状态。(前,需先把电路引导到确定状态。(看看P.27 例,纠错例,纠错)第第1 1章章 电路测试基础电路测试基础 测试生成测试生成 产生测试图形的过程产生测试图形的过程。有软件和硬件实现方法。有软件和硬件实现方法。软件一般用软件一般用EDA工具或用户开发的程序进行自动测试图形生成工具或用户开发的程序进行自动测试图形生成(ATPG),采用确定性算法,测试代码少。硬件一般采用内建自测试,采用确定性算法,测试代码少。硬件一般采用内建自测试(BIST)电路生成随机或伪随机测试图形。电路生成随机或伪随机测试图形。测试施加测试施加 把生成的测试图形作为激励信号,施加到被测对把生成的测试图形作为激励信号,施加到被测对象,象,然后分析判断的过程。然后分析判断的过程。施加的方式:施加的方式:把把BISTBIST嵌入到功能电路;利用自动测试设备嵌入到功能电路;利用自动测试设备(ATE)(ATE)。1.3 失效、缺陷和故障失效、缺陷和故障 南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 电路失效电路失效:由于某种原因使得电路不能正常工作由于某种原因使得电路不能正常工作。u按失效的方式分按失效的方式分:设计失误失效、参设计失误失效、参数改变失效数改变失效 。IC的失效类型的失效类型u 按失效的存在时按失效的存在时间分间分:永久性失效、永久性失效、暂时性失效暂时性失效(又分为(又分为暂态失效:由外部干暂态失效:由外部干扰引起;间歇性失效扰引起;间歇性失效:元件参数的变化引:元件参数的变化引起)起)u 缺陷:缺陷:电路因物质方面的原因而改变了其本来的结构。电路因物质方面的原因而改变了其本来的结构。u 失效:失效:物理缺陷在电路级的表达用失效方式来描述,物理缺陷在电路级的表达用失效方式来描述,失效方失效方式又称为式又称为物理故障物理故障。u 故障:故障:失效方式在逻辑级和行为级则可建模为故障失效方式在逻辑级和行为级则可建模为故障,这称为,这称为逻辑故障逻辑故障。物理缺陷到故障的映射物理缺陷到故障的映射第第1 1章章 电路测试基础电路测试基础 u 故障建模故障建模:对电路的缺对电路的缺陷先建立失效陷先建立失效方式,再映射方式,再映射到逻辑级和行到逻辑级和行为级建立故障为级建立故障模型模型的过程。的过程。缺陷和相应的缺陷和相应的故障模型并不故障模型并不一一对应。一一对应。1.3.1 物理缺陷物理缺陷 南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 缺陷实例缺陷实例 缺陷的形成与缺陷的形成与IC的制造工艺有关。的制造工艺有关。1.3.2 失效方式失效方式 大多数的失效机理大多数的失效机理可在电路级描述,其可在电路级描述,其模型就是失效方式。模型就是失效方式。最常见的失效方式最常见的失效方式是是互连线短路互连线短路、开路开路或或参数改变参数改变。1.3.3 故障故障 失效方式在逻辑级和行为级失效方式在逻辑级和行为级按不正确的信号值来描述,表按不正确的信号值来描述,表达形式就是故障模型,达形式就是故障模型,它通过在电路或系统中信号所它通过在电路或系统中信号所衍生的衍生的变化也即电路的逻辑行为变化也即电路的逻辑行为来描述失效效应。来描述失效效应。常见的故障模型常见的故障模型第第1 1章章 电路测试基础电路测试基础 故障模型故障模型 描述描述经典故障经典故障单固定型故障单固定型故障(SSA或或SSF)一条线固定到逻辑一条线固定到逻辑0或或1值值多重故障多重故障(MSA)两条或两条以上的线逻辑值固定两条或两条以上的线逻辑值固定桥接故障桥接故障 互不连接的两条或两条以上线发生电连接互不连接的两条或两条以上线发生电连接晶体管故障晶体管故障桥接故障桥接故障 互不连接的两条或两条以上线发生电连接互不连接的两条或两条以上线发生电连接恒定开路故障恒定开路故障(SOP)COMS中上举或下拉中上举或下拉MOS失效失效(不通不通)恒定通故障恒定通故障(SON)MOS管恒导通管恒导通性能故障性能故障延迟故障延迟故障电路中一条或多条路径延迟所造成的故障电路中一条或多条路径延迟所造成的故障间歇故障间歇故障内部参数改变所引起的故障内部参数改变所引起的故障瞬态故障瞬态故障耦合干扰引起不正确的信号值耦合干扰引起不正确的信号值存储单元故障存储单元故障模拟电路故障模拟电路故障灾难性故障灾难性故障也称硬故障,模拟元器件开路或短路也称硬故障,模拟元器件开路或短路参数性故障参数性故障也称软故障,也称软故障,R、L、C或晶体管跨导或晶体管跨导等参数值的变化超出允许范围等参数值的变化超出允许范围故障是一种模型,它通过电路的逻辑行为来描述失效效应。故障是一种模型,它通过电路的逻辑行为来描述失效效应。南京航空航天大学 信息科学与技术学院 电子工程系 1.3.4 故障、失效和缺陷的关系故障、失效和缺陷的关系u 缺陷缺陷是电路物理结构上的改变,是电路物理结构上的改变,失效方式失效方式是失效机理在电路是失效机理在电路级的描述,级的描述,故障故障是失效模型在逻辑级和行为级的描述。是失效模型在逻辑级和行为级的描述。u 故障,从技术角度来讲是一个独立的概念,用它来描述缺陷。故障,从技术角度来讲是一个独立的概念,用它来描述缺陷。作为一个模型,不必对一个缺陷完全、准确地反映。作为一个模型,不必对一个缺陷完全、准确地反映。u 电路检测中采用的是故障模型,而非失效方式。电路检测中采用的是故障模型,而非失效方式。第第1 1章章 电路测试基础电路测试基础 1.4 经典故障模型经典故障模型故障模型故障模型元器件间连接元器件间连接(板级测试中)(板级测试中)改变元器件真值表的故障改变元器件真值表的故障(与电路制造工艺和版图结构有关与电路制造工艺和版图结构有关)固定型故障固定型故障开路故障开路故障桥接故障桥接故障开路故障开路故障桥接故障桥接故障恒定通故障恒定通故障1.4.1 SSA故障故障 电路中某个门的一根输入或输出线固定于逻辑电路中某个门的一根输入或输出线固定于逻辑1或或0的缺陷,用的缺陷,用单固定型故障单固定型故障(single stuck-at fault)模型描述,简称模型描述,简称SSA故障。故障。南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 SSA是标准故障模型,是标准故障模型,用于检测电路的用于检测电路的“错误错误”十分有效十分有效。其有。其有用性用性表现在表现在:(1)SSA故障模型表示了故障模型表示了许多不同的失效方式许多不同的失效方式;(2)SSA故障模型是故障模型是与工艺无关的故障模型与工艺无关的故障模型;(3)基于)基于SSA故障的测试图形故障的测试图形可以检测许多非经典性故障可以检测许多非经典性故障;(4)SSA故障模型的数目故障模型的数目比其他类型的故障模型的数目少比其他类型的故障模型的数目少,通过,通过故障化简方法此数目还可以减少;故障化简方法此数目还可以减少;(5)可以用可以用SSASSA故障表达其他类型的故障故障表达其他类型的故障。南京航空航天大学 信息科学与技术学院 电子工程系关于关于SSASSA,有如下两条检测定理:,有如下两条检测定理:定理定理1.1 对于一个无扇出的组合电路对于一个无扇出的组合电路C,能够检测,能够检测C的所的所有原始输入端有原始输入端SSA故障的故障的测试集,也可以检测测试集,也可以检测C中所有的中所有的SSA故障。故障。定理定理1.2 对于一组合电路对于一组合电路C,能够检测,能够检测C的所有原始输入的所有原始输入端和扇出分支上端和扇出分支上SSA故障的故障的测试集,也可检测测试集,也可检测C中所有的中所有的SSA故障。故障。第第1 1章章 电路测试基础电路测试基础 1.4.2 MSA故障故障)!(!),(mNmNmNC 电路中故障的数目随线条电路中故障的数目随线条m的条数呈指数增加,的条数呈指数增加,m条线可能条线可能有有 个个SSA故障故障,N条线中条线中m重线的总个数为:重线的总个数为:2m 如果一根以上的线同时固定于逻辑如果一根以上的线同时固定于逻辑1或或0,这样的缺陷可用,这样的缺陷可用多多重固定型故障重固定型故障模型描述,简称模型描述,简称MSA故障。故障。南京航空航天大学 信息科学与技术学院 电子工程系 m重故障数为重故障数为 ,N条线中可能存在的条线中可能存在的MSA故障的总个数故障的总个数为:为:),(2mNCm 13),(21 NNmmmNC第第1 1章章 电路测试基础电路测试基础 节点数目节点数目 SSA故障故障 故障数目故障数目 两重故障两重故障 三重故障三重故障 N 2N 4C(N,2)8C(N,3)10 100 1000 10000 20 200 2000 20000 180 19800 1998000199980000 960 129 3600 13 2933 60001 3329 3336 0000电路中电路中N条线的条线的SSA、MSA故障的数目故障的数目 影响影响MSA故障测试的主要因素故障测试的主要因素 原始输入的个数原始输入的个数和和重聚的扇出点重聚的扇出点的个数的个数 第第1 1章章 电路测试基础电路测试基础 大部分大部分MSA故障能由故障能由SSA故障的测试图形集检测到。故障的测试图形集检测到。就组合电就组合电路有路有以下结论以下结论:(1)对于非冗余的两级电路,任意一个测试)对于非冗余的两级电路,任意一个测试SSA故障的完全测试集可测试故障的完全测试集可测试所有的所有的MSA故障故障;一个包含不可检测固定性故障的组合电路称作是冗余的,因为总可以通一个包含不可检测固定性故障的组合电路称作是冗余的,因为总可以通过移除一个门或是门的输入来简化电路。过移除一个门或是门的输入来简化电路。(2)对于无扇出的电路,任意一个测试)对于无扇出的电路,任意一个测试SSA故障的完全测试集可以测试所故障的完全测试集可以测试所有的两重和三重故障(内部与根都没有扇出);有的两重和三重故障(内部与根都没有扇出);(3)对于内部无扇出的电路(只有电路的原始输入才可能是根),测试)对于内部无扇出的电路(只有电路的原始输入才可能是根),测试SSA故障的完全测试集,同时也可测试至少故障的完全测试集,同时也可测试至少98%的重数小于的重数小于6的的MSA故障;故障;(4)对于无扇出的所有原始输入和电路)对于无扇出的所有原始输入和电路C中所有扇出分支上的中所有扇出分支上的MSA故障,故障,能够测试它们的完全测试集也能测试能够测试它们的完全测试集也能测试C所有的所有的MSA故障;故障;(5)对于内部无扇出的电路)对于内部无扇出的电路C,任意一个测试,任意一个测试SSA故障的完全测试集可以故障的完全测试集可以测试测试C中所有的中所有的MSA故障(故障(除非它包含除非它包含P34的电路的电路,这个电路是个,这个电路是个故障冗余电故障冗余电路,路,ABCD=1001ABCD=1001能检测到能检测到SSASSA故障故障(B/1)(B/1)和和(C/1)(C/1),但不能检测到(,但不能检测到(B/1,C/1)B/1,C/1)。(故障故障)冗余电路冗余电路第第1 1章章 电路测试基础电路测试基础 无扇出电路无扇出电路 若某个故障在输出端观若某个故障在输出端观测不到,称为测不到,称为故障湮没故障湮没,又叫做又叫做故障冗余故障冗余,电路为电路为冗余电路。冗余电路。(如电路中的如电路中的线线8 8就是冗余的)就是冗余的)电路中电路中门的输出仅是另门的输出仅是另一个一个门的输入。门的输入。重聚的扇出电路重聚的扇出电路电路中电路中门的输出是另门的输出是另几几个个门的输入,输出后又门的输入,输出后又汇聚在一个门上。汇聚在一个门上。无扇出电路举例无扇出电路举例 南京航空航天大学 信息科学与技术学院 电子工程系1.5.1 故障表故障表 在对数字电路进行测试生成时,需先对测试工具提供电路在对数字电路进行测试生成时,需先对测试工具提供电路(网表(网表)的描述,然后对所有要检测的故障生成表格,这就是的描述,然后对所有要检测的故障生成表格,这就是故障表故障表。例如,例如,P35P35的电路以及表的电路以及表2.52.5。1.5 故障的等效、支配和故障冗余故障的等效、支配和故障冗余第第1 1章章 电路测试基础电路测试基础 u如果将能用相同的测试图形如果将能用相同的测试图形检测到的所有检测到的所有SSASSA故障只保留故障只保留一个,则可以简化故障表。一个,则可以简化故障表。u也可以用故障等效和支配的也可以用故障等效和支配的概念来简化故障表。概念来简化故障表。1.5.2 故障等效故障等效12TT 21TT 定义:定义:对于两个故障对于两个故障f1和和f2,如果检测故障,如果检测故障 f1(f2)的每一个测试图的每一个测试图形也可用来检测故障形也可用来检测故障f2(f1),则称这两个故障等效,也就是说它们,则称这两个故障等效,也就是说它们的测试集的测试集T1和和 T2是相同的,即是相同的,即 和和 。例中,例中,u故障故障A/0、B/0和和H/0(两输入与门两输入与门)的测试集的测试集(共共7个个)都相同,为:都相同,为:ABCDE=11000,11010,11011,11100,11101,11110,11111 称:称:A/0、B/0和和H/0是等效故障是等效故障。u故障故障C/1、D/1和和F/1(两输入或门两输入或门)的测试集的测试集(共共3个个)相同,为:相同,为:ABCDE=00001,01001,10001 称:称:C/1、D/1和和F/1是等效故障。是等效故障。结论(不证明):结论(不证明):u任何一个任何一个N输入与输入与(与非与非)门所有输入的门所有输入的s-a-0故障和输出的故障和输出的s-a-0故障故障(s-a-1故障故障)等效等效u任何一个任何一个N输入或输入或(或非或非)门所有输入的门所有输入的s-a-1故障和输出的故障和输出的s-a-1故障故障(s-a-0故障故障)等效等效=故障等效故障等效的的N+1个个s-a故障故障之中的任意之中的任意1 1个均可代表其他故障,则,个均可代表其他故障,则,简单简单门的故障表的长度门的故障表的长度从从2(N+1)个个(N+1个个s-a-0,N+1个个s-a-1)化简为化简为(N+2)个个(N+1个个s-a-0等价于等价于1个个s-a-0,N+1个个s-a-1,共,共1+N+1=N+2)。对反相器对反相器,输入端的,输入端的s-a-0(s-a-1)故障与输出端的故障与输出端的s-a-1(s-a-0)故障等效,故障等效,4个故障等效为只有个故障等效为只有2个故障。个故障。第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系1.5.3 故障支配故障支配第第1 1章章 电路测试基础电路测试基础 21TT 定义:定义:如果一个故障如果一个故障f1的测试集的测试集T1是另一个故障是另一个故障f2的测试集的测试集T2的子集,则说故障的子集,则说故障f2支配故障支配故障f1,即,即 。定义表明,定义表明,f f1 1的测试集能够测试故的测试集能够测试故障障f f2 2。因此生成了。因此生成了f f1 1的测试图形后的测试图形后无需考虑无需考虑f f2 2的测试生成,支配故障的测试生成,支配故障f f2 2可以从故障表中化简掉。可以从故障表中化简掉。u上例中,上例中,检测故障检测故障C/0的测试集是检测故障的测试集是检测故障F/0的测试集的子集,故障的测试集的子集,故障F/0支配故障支配故障C/0,检测故障,检测故障C/0的任何一个测试图形均可检测故障的任何一个测试图形均可检测故障F/0。(2输入或门的任一输入端输入或门的任一输入端S-a-0故障的测试图形可检测出输出端的故障的测试图形可检测出输出端的s-a-0故障;故障;2输入与门的任一输入端输入与门的任一输入端S-a-1故障的测试图形可检测出输出端的故障的测试图形可检测出输出端的s-a-1故障;)故障;)u对故障表进行等效、支配化简后对故障表进行等效、支配化简后=结论:结论:任意一个任意一个N输入的简单逻辑门只有输入的简单逻辑门只有(N+1)个个s-a特征故障,特征故障,只需只需(N+1)个测试图形就可检测它们(见个测试图形就可检测它们(见P35 表)表)。南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 u 2输入或门的任一输入端输入或门的任一输入端S-a-0故障的测试图形可检测出输出端的故障的测试图形可检测出输出端的s-a-0故障;故障;2输入与门的任一输入端输入与门的任一输入端S-a-1故障的测试图形可检测故障的测试图形可检测出输出端的出输出端的s-a-1故障;故障;a b za/0b/0z/0a/1b/1z/10 0 00000010 1 00001011 0 00000111 1 10001112输入与门输入与门(1)a/0、b/0、z/0故障等效,测试图形故障等效,测试图形11=与门故障减至与门故障减至a/0、a/1、b/1、z/1;(2)检测检测a/1(b/1)的测试图形的测试图形01(10)是检测是检测z/1(00,01,10)的子集的子集(z/1是支配故障是支配故障)=与门故障减至与门故障减至a/0、a/1、b/1;结论:结论:2 2输入与门的故障表中输入与门的故障表中只有只有(2+1)(2+1)个个s-as-a特征故障,特征故障,只需只需(2+1)(2+1)个测试图形个测试图形(11,01,10)(11,01,10)就可检测它们。就可检测它们。南京航空航天大学 信息科学与技术学院 电子工程系1.5.4 故障表简化故障表简化第第1 1章章 电路测试基础电路测试基础 用故障等效与支配的概念,可以对简单的用故障等效与支配的概念,可以对简单的N N输入门的故障表输入门的故障表进行化简。进行化简。推广到图推广到图2.13所示电路,故障表如表所示电路,故障表如表2.5,它有,它有10条线,故条线,故障表中有障表中有20个故障个故障:A/0,B/0,C/0,D/0,E/0,F/0,G/0,H/0,V/0,Z/0,A/1,B/1,C/1,D/1,E/1,F/1,G/1,H/1,V/1,Z/1对与门、或门运用对与门、或门运用等效的关系等效的关系,可得到以下等效分组:,可得到以下等效分组:A/0,B/0,H/0 A/0;C/1,D/1,F/1 C/1;H/1,V/1,Z/1 H/1;G/0,E/0,V/0 G/0;F/0,G/1 F/0。等效处理后等效处理后,可得故障表:,可得故障表:A/0,B/0,C/0,D/0,E/0,F/0,G/0,H/0,V/0,Z/0,A/1,B/1,C/1,D/1,E/1,F/1,G/1,H/1,V/1,Z/1 去掉了去掉了9个个 南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 等效处理后,可得故障表:等效处理后,可得故障表:A/0,B/0,C/0,D/0,E/0,F/0,G/0,H/0,V/0,Z/0,A/1,B/1,C/1,D/1,E/1,F/1,G/1,H/1,V/1,Z/1 还有还有1111个个 A/0,C/0,D/0,F/0,G/0,Z/0,A/1,B/1,C/1,E/1,H/1再找到再找到支配关系支配关系 与门输出与门输出1故障、故障、或门输出或门输出0故障、故障、反相器输出反相器输出0故障都是支配故障都是支配故障,即:故障,即:H/1;V/1;F/0;Z/0;G/0。它们是支配故障,从故障表中去除,得到最终的故障表:它们是支配故障,从故障表中去除,得到最终的故障表:A/0,C/0,D/0,F/0,G/0,Z/0,A/1,B/1,C/1,E/1,H/1 A/0,C/0,D/0,A/1,B/1,C/1,E/1 (此电路只需此电路只需7 7个测试向量来测试个测试向量来测试)u此表并不唯一,因为故障此表并不唯一,因为故障A/0和和C/1可由同一个等效组中其可由同一个等效组中其他的故障代替。他的故障代替。1.5.5 检查点(检查点(检查点定律:一套简便的简化故障点的方法检查点定律:一套简便的简化故障点的方法)第第1 1章章 电路测试基础电路测试基础 定义:定义:组合电路中的原始输入和组合电路中的原始输入和扇出分支称为检查点(右图)。扇出分支称为检查点(右图)。检查点定理:检查点定理:对组合电路,能够对组合电路,能够测试其中所有检查点测试其中所有检查点SSASSA故障的测试故障的测试图形,也可以测试电路中所有的图形,也可以测试电路中所有的SSASSA故障。故障。电路无故障时输出函数为电路无故障时输出函数为电路有故障电路有故障8/1时的输出函数为时的输出函数为 ,即,即 。故障故障8/1的效应在输出端观测不到,这种故障湮没称为的效应在输出端观测不到,这种故障湮没称为故障冗余故障冗余。1/8ff 212121321xxxxxxxxxf 31211/8xxxxf 具有冗余的电路具有冗余的电路1.5.6 故障冗余故障冗余第第1 1章章 电路测试基础电路测试基础 u任何一个信号如果经两个方向传播,都可能出现不一致情况任何一个信号如果经两个方向传播,都可能出现不一致情况,对于故障就可能把故障的效应湮没掉。,对于故障就可能把故障的效应湮没掉。u组合逻辑电路是非反馈电路,一个信号经两个方向传播,唯组合逻辑电路是非反馈电路,一个信号经两个方向传播,唯一可能的方式是一可能的方式是重聚的扇出电路重聚的扇出电路。重聚重聚 信号经过不同方式和路径传播后,几路信信号经过不同方式和路径传播后,几路信号又同时作为号又同时作为 一门电路的输入。一门电路的输入。结论:对于组合电路,故障冗余只存在于重聚的扇出分支或结论:对于组合电路,故障冗余只存在于重聚的扇出分支或路径上。路径上。南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 结论:对于组合电路,故障冗余只存在于重聚的扇出分支或路径上。结论:对于组合电路,故障冗余只存在于重聚的扇出分支或路径上。南京航空航天大学 信息科学与技术学院 电子工程系冗余故障的判断方法:冗余故障的判断方法:假定线假定线i i是电路中的冗余线。如果线是电路中的冗余线。如果线i i可以去可以去掉并由逻辑常数掉并由逻辑常数j j的线(的线(j j为为0 0或或1 1,但不能即为,但不能即为0 0又为又为1 1)代替,那么)代替,那么故障故障i/ji/j是不可测故障,但故障是不可测故障,但故障i/ji/j是可测故障;如果线是可测故障;如果线i i的逻辑均的逻辑均可由可由j j或或j j代替,则故障代替,则故障i/ji/j是不可测故障。是不可测故障。例,例,(a)中的中的8线去掉用逻辑线去掉用逻辑1代替、代替、(b)中的中的13去掉用逻辑去掉用逻辑0代替时,代替时,对应电路实现的功能没改变,这两根线为故障冗余线,电路是具有对应电路实现的功能没改变,这两根线为故障冗余线,电路是具有故障冗余的电路。故障冗余的电路。1.6.1 桥接故障桥接故障当缺陷使两根或两根以上不相连的线短接在一起并形成线逻辑当缺陷使两根或两根以上不相连的线短接在一起并形成线逻辑时,用时,用桥接故障桥接故障(bridging fault)模型来描述。模型来描述。当桥接故障涉及的线条数当桥接故障涉及的线条数r2时,则称该故障为多重桥接故障时,则称该故障为多重桥接故障,否则为单桥接故障。,否则为单桥接故障。第第1 1章章 电路测试基础电路测试基础 1.6 晶体管级故障模型晶体管级故障模型MOS电路常见故障电路常见故障:晶体管短路或开路,栅极、源极和漏极:晶体管短路或开路,栅极、源极和漏极的开路,栅与漏、源或者沟道之间的短路。的开路,栅与漏、源或者沟道之间的短路。因为:在所有的故障条件下因为:在所有的故障条件下MOS组合电路并不保持组合逻辑组合电路并不保持组合逻辑特性。特性。这些缺陷不能用经典的故障模型在门级描述,需在这些缺陷不能用经典的故障模型在门级描述,需在晶体管晶体管级级引入失效方式,进而建立模型。引入失效方式,进而建立模型。具有桥接故障的电路的行为与其采用的工艺有关。具有桥接故障的电路的行为与其采用的工艺有关。在在TTL电路中电路中线与线与;ECL(射极耦合逻辑射极耦合逻辑)电路中电路中线或线或;CMOS电路中更复杂,线逻辑电路中更复杂,线逻辑与驱动短接线与驱动短接线的门的类型及这些门的输入有关的门的类型及这些门的输入有关。两个反相器输出两个反相器输出短接,短接,可建模为可建模为线逻辑:线逻辑:输入条件输入条件相关的驱动相关的驱动 输出值输出值 线逻辑线逻辑A=B任意比值任意比值NOTA=0,B=1RP1RN2RP1RP2RN1VOUT=1。但是因为但是因为,VOUT保持。保持。南京航空航天大学 信息科学与技术学院 电子工程系 对于对于MOS管,恒定通(管,恒定通(SON)描述以下几种情况。)描述以下几种情况。MOS管的短路管的短路短路和开路故障都要用电流测试方法短路和开路故障都要用电流测试方法来检测来检测第第1 1章章 电路测试基础电路测试基础 1或或4 处的短接使得处的短接使得A=0时无效;时无效;(DS短路,短路,GD短路)短路)2或或3 处的短接使得处的短接使得A=1时无效;时无效;5或或6 处的短接使得处的短接使得Z随随A变化;变化;1.6.4 CMOS电路的恒定通与短路故障电路的恒定通与短路故障在晶体管级引入失效方式进而建立故障模型的方法在晶体管级引入失效方式进而建立故障模型的方法-优点:优点:可以对物理缺陷更准确的表达,可以对物理缺陷更准确的表达,缺点:缺点:提高了模型的复杂度,测试图形生成难度大。提高了模型的复杂度,测试图形生成难度大。GDSu有文献专门将晶体管漏源间的短路缺有文献专门将晶体管漏源间的短路缺陷用陷用恒定通恒定通故障描述。故障描述。南京航空航天大学 信息科学与技术学院 电子工程系1.7.1 延迟故障延迟故障 即使电路结构无误,信号传播的延迟也可能导致异常,即使电路结构无误,信号传播的延迟也可能导致异常,此种情况用此种情况用延迟故障延迟故障(delay fault)来描述。来描述。检测此类故障的最终目的:检测此类故障的最终目的:在设计的时钟频率下电路工在设计的时钟频率下电路工作不出现异常。作不出现异常。延迟测试主要用两种模型:延迟测试主要用两种模型:(1)源于门的门延迟故障)源于门的门延迟故障(Gate Delay Fault,GDF)(2)源于路径的路径延迟故障)源于路径的路径延迟故障(Path Delay Fault,PDF)第第1 1章章 电路测试基础电路测试基础 1.7.2 暂时失效暂时失效 暂时失效不具有重复性,因此难以寻找其规律,对其研究暂时失效不具有重复性,因此难以寻找其规律,对其研究也不如硬失效那样透彻。也不如硬失效那样透彻。不同数字元件都会有暂时失效现象,在存储器和微处理器不同数字元件都会有暂时失效现象,在存储器和微处理器中尤为突出。中尤为突出。1.7 其它类型故障模型其它类型故障模型 南京航空航天大学 信息科学与技术学院 电子工程系 电路的可测性是一个抽象的概念,涉及测试的各个方面。电路的可测性是一个抽象的概念,涉及测试的各个方面。可测性的两个重要因素是可测性的两个重要因素是可控性可控性和和可观性可观性。根据经验总结,可测性的电路应具有以下特征:根据经验总结,可测性的电路应具有以下特征:u 电路容易处于理想的初始状态(电路容易处于理想的初始状态(可控性可控性););u 通过对电路的原始输入端施加测试图形,电路的任意通过对电路的原始输入端施加测试图形,电路的任意内部状态都容易设置(内部状态都容易设置(可控性可控性);u 通过电路的原始输出,电路的内部状态可唯一的确认通过电路的原始输出,电路的内部状态可唯一的确认(可观性可观性)。u 可控性、可观性及可测性可控性、可观性及可测性 逻辑可测性的计算机辅助度量逻辑可测性的计算机辅助度量(Computer Aided Measurefor Logic Testability,CAMELOT)是一个确定性可测性分析是一个确定性可测性分析方法。方法。第第1 1章章 电路分析基础电路分析基础 衡量电路的可测性有很多方法,比较重要的是确定性分衡量电路的可测性有很多方法,比较重要的是确定性分析方法和概率法。析方法和概率法。南京航空航天大学 信息科学与技术学院 电子工程系u数字电路的各种模型和描述方法数字电路的各种模型和描述方法 在电路设计、制造、测试中,电路模型的表达方法对设计验在电路设计、制造、测试中,电路模型的表达方法对设计验证、故障模型建立和模拟方法、测试生成方法影响很大。证、故障模型建立和模拟方法、测试生成方法影响很大。电路模型可分为内部模型和外部模型:电路模型可分为内部模型和外部模型:内部模型内部模型 在计算机内部以数据结构和(或)程序方式表达在计算机内部以数据结构和(或)程序方式表达 电路;电路;外部模型外部模型 用户使用的模型用户使用的模型 数学等式表达方法数学等式表达方法(开关函数、有限状态机等)开关函数、有限状态机等)表格表达方法(真值表、状态表)表格表达方法(真值表、状态表)图示表达方法(原理图、图、图示表达方法(原理图、图、BDD图)图)HDL语言描述语言描述第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系l开关函数开关函数第第1 1章章 电路测试基础电路测试基础 ),(21nxxxfn2n22nxxx,21nxxx,21nxxx,21定义:定义:假定假定 代表代表n个逻辑变量,每个变量的取个逻辑变量,每个变量的取值为值为0或或1,则为则为 的开关函数。的开关函数。函数函数f的取值为的取值为0或或1,取决于,取决于 的赋值及其运的赋值及其运算关系算关系。每个变量可取两个值,那么。每个变量可取两个值,那么n个变量就有个变量就有 个个最小最小项项,有,有 不同的开关函数。不同的开关函数。1.开关函数的形式开关函数的形式(1)SOP与与POS形式形式如果开关函数由多个乘积如果开关函数由多个乘积(与运算与运算)相加相加(或运算或运算)组成,每组成,每一个乘积项由一系列变量的原码或反码相与而成,这种一个乘积项由一系列变量的原码或反码相与而成,这种形式称为开关函数的形式称为开关函数的SOP(Sum of Products)的形式。的形式。如果开关函数只用最小项的和来表示,则称为如果开关函数只用最小项的和来表示,则称为正交的正交的SOP。(2)正交项正交项2.开关函数的开关函数的Shanoon展开式展开式第第1 1章章 电路测试基础电路测试基础 (3)正交的正交的POS形式形式 如果开关函数只用最大项的与来表示,则称为如果开关函数只用最大项的与来表示,则称为正交的正交的POS。如果开关函数不是以正交形式表达,可用代数方式把它转化成如果开关函数不是以正交形式表达,可用代数方式把它转化成为正交的为正交的POS或或SOP形式,形式,南京航空航天大学 信息科学与技术学院 电子工程系(1)(2)(3)(4)(5)1221xxxx 1221xxxx123123123()()xxxxxxxxx 3.常用公式常用公式110 xx 111xx 南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 111 xx011 xx43214321312131212121213121321)()()()(xxxxxxxxxxxxxxxxxxxxxxxxxxxxx 121 xx2121xxxx 021 xx2121xxxx (6)(7)(8)(9)(10)(11)(12)如果如果 ,则有,则有(13)如果如果 ,则有,则有l逻辑函数的异或表达逻辑函数的异或表达可以用两级可以用两级与与和和异或异或的电路来描述任意逻辑函数。的电路来描述任意逻辑函数。逻辑函数的异或表达在电路测试中非常有用。逻辑函数的异或表达在电路测试中非常有用。l图图第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系)v,u(e)v,u(e),),(VvVuEvue 图图G(V,E,W)由节点由节点V的集合、边的集合、边E和权和权W的集合组成。的集合组成。边边 反映的是节点反映的是节点u和和v之间的关系之间的关系 如果如果 反映的只是节点反映的只是节点u到到v的关系,并不反映节点的关系,并不反映节点v到到u的关系,则称这样的图为的关系,则称这样的图为有向图有向图。相邻相邻 与一条边有关系的那些节点与一条边有关系的那些节点;孤立节点孤立节点 与其他节点无任何关系的节点;与其他节点无任何关系的节点;出度出度 从一个节点出发的边的数量;从一个节点出发的边的数量;入度入度 进入到一个节点的边的数量。进入到一个节点的边的数量。无向图无向图 图中的边具有对称关系,图中的边具有对称关系,。路径路径 由边组成的序列。由边组成的序列。连通图连通图 图中任意节点与其他任何节点之间存在一条路径图中任意节点与其他任何节点之间存在一条路径.一条闭合的路径称为一个一条闭合的路径称为一个循环循环。如:状态转换图就是数字电路的图形表达。如:状态转换图就是数字电路的图形表达。),(),(uvevue 树树 非循环图。非循环图。具有一个输入度为具有一个输入度为0的根节点和多个输出的根节点和多个输出度为度为0的末端节点,任意一个末端节点都是一个叶。的末端节点,任意一个末端节点都是一个叶。二进制树二进制树(binary tree)是树的一种特殊形式,特征是每一个是树的一种特殊形式,特征是每一个内部节点的输入度为内部节点的输入度为1而输出度只能是而输出度只能是0、1或或2。南京航空航天大学 信息科学与技术学院 电子工程系第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系lBDD图(二叉判决图,图(二叉判决图,Binary Decision Diagram)BDD图图是一种有向的非循环图形是一种有向的非循环图形(Directed Acyclic Graph,DAG)来表达来表达电路的布尔函数。电路的布尔函数。BDD图有一系列所谓的图形截断图有一系列所谓的图形截断构成,每个图形截断包括内部节点、构成,每个图形截断包括内部节点、分支和根节点,每一个内部节点对应分支和根节点,每一个内部节点对应一个输入变量,每一个输入变量有两一个输入变量,每一个输入变量有两个可能取值个可能取值(0或或1)。在图形上分别对应。在图形上分别对应为左分支和右分支。为左分支和右分支。BDD图的构成方法是从上到下,图的构成方法是从上到下,通过简单的所谓图形截断步骤,在每通过简单的所谓图形截断步骤,在每一个节点根据输入值确定下面的图是一个节点根据输入值确定下面的图是经过左还是右分支,并确定输出值,经过左还是右分支,并确定输出值,函数的值就由出口分支得以确定。函数的值就由出口分支得以确定。图图1.28 函数函数 的的BDD图图31321xxxxxf 第第1 1章章 电路测试基础电路测试基础 函数函数 的的BDD图图31321xxxxxf 01x3x3x2x301 南京航空航天大学 信息科学与技术学院 电子工程系 BDD图图是用二叉树来表达电是用二叉树来表达电路的布尔函数。路的布尔函数。BDD图的构成方法是从上到图的构成方法是从上到下,通过简单的所谓图形截断步下,通过简单的所谓图形截断步骤,在每一个节点根据输入值(骤,在每一个节点根据输入值(0或或1)确定下面的图是经过左分支)确定下面的图是经过左分支(0)还是右分支()还是右分支(1),并确定),并确定输出值,函数的值由出口分支得输出值,函数的值由出口分支得以确定。以确定。第第1 1章章 电路测试基础电路测试基础 BDD图的另一个用途是用来进行测试生成。图的另一个用途是用来进行测试生成。南京航空航天大学 信息科学与技术学院 电子工程系A CMOS complementary pair.第第1 1章章 电路测试基础电路测试基础 南京航空航天大学 信息科学与技术学院 电子工程系本章小结本章小结u电路的测试涉及到设计、测试和生产的各个过程,而各个过程电路的测试涉及到设计、测试和生产的各个过程,而各个过程检验电路所用的方法和达到的目的各不相同,所采用的测试方检验电路所用的方法和达到的目的各不相同,所采用的测试方法就不同。电路还未实现前所作的测试成为设计验证,也成为法就不同。电路还未实现前所作的测试成为设计验证,也成为设计验证测试,目的是为了保证所设计的电路与设计要求相符设计验证测试,目的是为了保证所设计的电路与设计要求相符合。合。u产品测试是基于故障的测试,首先建立的是故障的概念。缺陷产品测试是基于故障的测试,首先建立的是故障的概念。缺陷是电路物理结构上的改变,在电路级表达是用失效方式来描述是电路物理结构上的改变,在电路级表达是用失效方式来描述的,失效方式在逻辑级和行为级则建模为故障。的,失效方式在逻辑级和行为级则建模为故障。u缺陷数目庞大而且种类繁多,基于缺陷的数学分析难度和复杂缺陷数目庞大而且种类繁多,基于缺陷的数学分析难度和复杂程度非常高,故障测试分析中把缺陷从物理域映射到逻辑域和程度非常高,故障测试分析中把缺陷从物理域映射到逻辑域和行为域,建立故障模型,这个过程实际上就是失效的检测过程行为域,建立故障模型,这个过程实际上就是失效的检测过程,但故障不必对一个缺陷完全、准确地反应,其有用性在于检,但故障不必对一个缺陷完全、准确地反应,其有用性在于检测故障。故障模型易于数学分析和处理。测故障。故障模型易于数学分析和处理。第第1 1章章 电路测试基础电路测试基础 u经典的故障模型是固定型故障,与工艺无关,其测试图形经典的故障模型是固定型故障,与工艺无关,其测试图形可以检测许多非经典性故障,可以用可以检测许多非经典性故障,可以用SSA故障来表达其它类故障来表达其它类型的故障。基于这些类型的故障检测和分析一般是建立在门型的故障。基于这些类型的故障检测和分析一般是建立在门级。级。uCMOS设计和制造技术的不断发展和应用,还需研究晶体设计和制造技术的不断发展和应用,还需研究晶体管级故障模型,典型的模型有一些开路和桥接故障、恒
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!