EDA课程设计万年历电子钟的设计

上传人:z**** 文档编号:168600133 上传时间:2022-11-11 格式:DOCX 页数:20 大小:467.49KB
返回 下载 相关 举报
EDA课程设计万年历电子钟的设计_第1页
第1页 / 共20页
EDA课程设计万年历电子钟的设计_第2页
第2页 / 共20页
EDA课程设计万年历电子钟的设计_第3页
第3页 / 共20页
点击查看更多>>
资源描述
课程设计(论文)任务书信息工程学院学 院 通信丁程专 业2009.2班一、课程设计(论文)题目二、 课程设计(论文)工作自2012年1月日起至 2012年 1月6 日止。三、课程设计(论文)地点:华东交通大学4-410,图书馆四、课程设计(论文)内容要求:1. 本课程设计的目的(1) 掌握EDA技术及CPLD/FPGA的开发流程;(2) 掌握自顶向下的设计思想;(3) 掌握实用电子钟的设计原理;(4) 掌握系统设计的分析方法;(5) 提高学生的科技论文写作能力。2. 课程设计的任务及要求1) 基本要求:(1) 用HDL设计一个多功能数字钟,包含以下主要功能:精确计时,时间可以24小时制或12小时制显示;(2) 日历:显示年月日星期;(3) 能把设计文件进行仿真并下载到实验箱实现功能验证。2) 创新要求:在基本要求达到后,可进行创新设计,如增加报时等、秒表功能模块。3) 课程设计论文编写要求(1) 要按照书稿的规格打印誊写论文(2) 论文包括目录、绪论、正文、小结、参考文献、谢辞、附录等(3) 论文装订按学校的统一要求完成4) 答辩与评分标准:(1) 完成系统分析:20分;(2) 完成设计过程:20分;(3) 完成仿真:10分;(4) 完成下载:10分(5) 回答问题:10分。5) 参考文献:(1) 潘松,黄继业编著EDA技术实用教程,2005,科学岀版社(2) 徐志军,徐光辉编著. CPLD/FPGA的开发与应用,电子工业岀版社,2001.1(3) 6)课程设计进度安排内容天数地点构思及收集资料1图书馆设计与调试3实验室撰写论文1图书馆、实验室学生签名:年 月 日课程设计(论文)评审意见评阅人:职称:(1)设计程序(40分):优()、良()、中(、一般(、差();(2)仿真结果(10分):优()、良()、中(、一般(、差();(3)下载结果(10分):优()、良()、中(、一般(、差();(4)回答问题(10分):优()、良()、中(、一般(、差();(5)报告成绩(30分):优()、良()、中(、一般(、差();(6)格式规范性及考勤是否降等级:是()、否()电子钟的设计摘要基于FPGA的电子钟设计,主要完成的任务是使用Verilog语音,在Quartise2 上完成电路的设计,程序的开发,基本功能是能够显示、修改年月日时分秒。电 路的设计模块分为几个模块:分频、控制、时间显示调整、时分、年月日、译码 器。各个模块完成不同的任务,合在一起就构成了电子钟。至于程序编写,使用 Verilog语言,根据各个模块的不同功能和它们之间的控制关系进行编写。软件 模块直接在Quartis2上进行。进入信息时代,时间观念越来越强,但是老是的 钟表以及日历等时间显示工具已经不太合适。如钟表易坏,需经常维修,日历每 天都需要翻页等。对此,数字钟表的设计就用了用武之地。基于FPGA的电子钟设计,采用软件开发模块,开发成本底,而且功能设计 上有很大的灵活度,需要在软件上进行简单的修该就能实现不同的功能要求,能 够满足不同的环境要求。同时,该设计在精度上远远超过钟表,并且不需要维修, 也不用没天的翻页,极其的方便。且能够添加各种不同的功能要求。例如:在其 上加闹钟,同时显示阴阳历等。综上所述本设计具有设计方便、功能多样、电 路简洁成本低廉等优点,符合社会发展的趋势,前景广阔。关键字:电子钟;FPGA;仿真;verilog;Quartusll目录摘要3第一章绪论51.1电子钟的发展51.2 FPGA 简介5第二章电子钟设计原理62.1组成模块62.2 电子钟的工作原理图6第三章电子钟系统部分程序设计与仿真83.1时分秒模块代码与仿真 83.2年月日模块代码与仿真 113.3具体的电路图13谢辞15参考文献15附录16第一章绪论1.1电子钟的发展钟表的数字化给人们生产生活带来了极大的方便,而且大大地扩展了钟表原 先的报时功能,诸如定时自动报警、按时自动打铃、时间程序自动控制、定时广 播、定时启闭路灯等。所有这些,都是以钟表数字化为基础的。因此,研究电子 万年历及扩大其应用,有非常现实的意义。数字钟是一种用数字电路技术实现时、 分、秒计时的装置,与机械式时钟相比具有更高的准确性和直观性,且无机械装 置,具有更长的使用寿命,因此得到了广泛的使用。电子万年历从原理上讲是一 种典型的数字电路,其中包括了组合逻辑电路和时序电路。因此,我们此次设计与制做电子万年历就是为了了解数字钟的原理,从而学 会制作数字钟。而且通过万年历的制作进一步了解各种在制作中用到的中小规模 集成电路的作用及使用方法,且由于电子万年历包括组合逻辑电路和时序电路, 通过它可以进一步学习与掌握各种组合逻辑电路与时序电路的原理与使用方法。1.2 FPGA 简介FPGA是现场可编程门阵列(Field programmable gates array)的英文简称。 是有可编程逻辑模块组成的数字集成电路(IC)。这些逻辑模块之间用可配置的互 联资源。设计者可以对这些器件进行编程来完成各种各样的任务PLD/FPGA是近几年集成电路中发展最快的产品。由于PLD性能的高速发 展以及设计人员自身能力的提高,可编程逻辑器件供应商将进一步扩大可编程芯 片的领地,将复杂的专用芯片挤向高端和超复杂应用。据IC Insights的数据显 示,PLD市场从1999年的29亿美元增长到去年的56亿美元,几乎翻了一番。 Matas预计这种高速增长局面以后很难出现,但可编程逻辑器件依然是集成电路 中最具活力和前途的产业。复杂可编程逻辑器件。可编程逻辑器件的两种主要类型是现场可编程门阵 列(FPGA)和复杂可编程逻辑(CPLD)。在这两类可编程逻辑器件中,FPGA提 供了最高的逻辑密度、最丰富的特性和最高的性能。现在最新的FPGA器件,如 Xilinx Virtex系列中的部分器件,可提供八百万系统门(相对逻辑密度)。这 些先进的器件还提供诸如内建的硬连线处理器(如IBM Power PC)、大容量存储 器、时钟管理系统等特性,并支持多种最新的超快速器件至器件 (device-to-device)信号技术。FPGA被应用于范围广泛的应用中,从数据处 理和存储,以及到仪器仪表、电信和数字信号处理等。第二章电子钟设计原理2.1组成模块此电子钟系统主要由一下几个模块组成:1. 控制模块:该模块实现对各个功能模块的整体控制,对时间显示与调整、 日期显示与调整,由使用者决定是显示日期还是时间,当使用者不参与控制时, 时间和日期每隔一段时间会自动轮流显示。2. 时间及其调整模块:顾名思义就是对时间进行调整。3. 时间显示动态位选模块4. 显示控制模块:显示控制模块的功能是控制显示日期还是时间,在设计 的过程中由于没有足够的数码管,把日期和时间分成了两个模块,至于显示那一 个这由该模块完成任务。5. 日期显示与设置模块6. 译码器模块:在数码管上显示当前时间和日期。7. 分频模块:是为了得到一个周期为秒的脉冲,该脉冲主要用于秒的走到。2.2电子钟的工作原理图图1 流程图在电脑上通过软件Max+plus2对万年历电路图的引脚进行绑定,编译,然 后与EDA试验箱连接,把文件配置通过JTAG 口载入FPGA中,选择实验电路模式 进行硬件测试。通过学习,理论上学习了 EDA试验箱的原理,对试验箱内部的组 件,以及组件之间的链接有了更深的了解.从开始分析电子钟原理,在定义底层文件名称,编写底层文件程序,生 成模块一一分频模块,秒模块,分模块,时模块,年月日模块,控制模块, 显示模块,然后链接各个模块组成顶层,到最终完成测试,虽然辛苦但是当 画出功能图时,还是很高兴的,以下为各模块组成的功能图工叮佇? 3讥;HHH txQQ3 B oj(a S左:W-j、 axqe * KX-Q3!图2功能设计图第三章电子钟系统部分程序设计与仿真31时分秒模块代码与仿真时间及其设置模块主要完成时间的自动正常运行与显示,以及在相应的功能 号下,实现时间的调整与设置。计数器秒脉冲信号经过6级计数器,分别得到“秒”个位、十位、“分”个位、十 位以及“时”个位、十位的计时。“秒”“分”计数器为六十进制,小时为二十 四进制。六十进制计数由分频器来的秒脉冲信号,首先送到“秒”计数器进行累加计数,秒计数器 应完成一分钟之内秒数目的累加,并达到60秒时产生一个进位信号,所以,选 用两片cc40192和一片cc4011组成六十进制计数器,来实现六十进制计数。其 中,“秒”十位是六进制,“秒”个位是十进制。二十四进制计数利用异步清零端实现起从2300的翻转,其中“24”为过渡状态不显示。 其中,“时”十位是3进制,“时”个位是十进制。以下为其具体的代码。秒module second(clrn,clk,jf,qm,enmin);input clrn,clk,jf;output7:o qm;output enmin;reg7:o qm;reg3:o qml;reg7:4 qmh;reg carryi;always(posedge clk or negedge clrn) beginif(clrn) beginqmh,qml=o;endelse if(qmh=5) &qm l=9)beginqmh,qml=o;carry1=1;endelse if (qmh=5) &(q ml9)begin qmh=qmh;qml=qml+1;carry1=o;endelse if(qmh5)&(qm l=9)begin qmh=qmh+i;qml=o;carryi=o;end else if(qmh5)&(q ml9)begin qmh=qmh;qml=qml+i;carryi=o;end qm=qmh,qml;endassign enmin=carry1|jf;Endmodule图3秒仿真图分module minute(clrn,clk,jh,qf,enhour);input clrn,clk,jh;output7:o qf;output enhour;reg7:o qf;reg3:o qfl;reg7:4 qfh;reg carry1;always (posedge clk or negedge clrn)beginif(clrn) beginqfh,qfl=8hoo;carry1=o;endelse if(qfh=5 )&(q fl=9)begin qfh,qfl=8hoo;cariy1=1;endelse if(qfh5 )&(q fl9) begin qfh=qfh;qfl=qfl+1;carry1=0;endelse if(qfh5)&(q fl=9)begin qfh=qfh+1;qfl=o;carry1=o;end else begin qfh=qfh;qfl=qfl+1;carry1=0;endqf=qfh,qfl;endassign enhour=carry1|jh;endmodule53 X 5455 厂 5E X 5T 飞 58 厂 59 X 00 匚 01 旷 02 X 03X 讽)(卩 图4分仿真图/时module hour(clrn,clk,qs,cout);input clrn,clk;output 7:0 qs;output cout;reg7:0 qs;reg3:0 qsl;reg7:4 qsh;reg carryl;always(posedge elk or negedge clrn)/miaobeginif (clrn) begin qsh,qsl=8hoo;carry1=o; endelse if(qsh=1) &(qsl=7)begin qsh,qsl=8hoo;carry1=1;endelse if(qsh=2 )&( qsl3) begin qsh=qsh;qsl=qsl+1;carry1=o;endelse if(qsl=9)begin qsh=qsh+1;qsl=o;carry1=o;endelse begin qsh=qsh;qsl=qsl+1;carry1=o;endqs=qsh,qsl;endassign cout=carry1;endmodule图5时仿真图3.2年月日模块代码与仿真该模块实现的是日期的自动工作功能,年月日module nyr2OO9(clrn,clk,jn,jy,jr,qn,qy,qr);input clrn,clk,jn,jy,jr;output 15:0 qn;output7:o qy,qr;reg 15:0 qn;reg7:0 qy,qr;reg clkn,clky;reg7:0 date;reg clkn1,clkn2,clkn3;initial begin clkn1=1;clkn2=1;clkn3=1;endinitial begin qn=h2000;qy=i;qr=i;endalways(posedge (clkAjr) or negedge clrn)/ 日计数 begin if(clrn) qr=i;else begin if(qr二二date)begin qr=i;clky=i;endelse if(qr7:4=date7:4 &qr3:0=date3:0)Begin qr7:4=qr7:4;qr3:0=qr3:0;clky=i;endelse if(qr3:0=9)begin qr7:4=qr7:4+i;qr3:0=0;endelse if(qr7:4date7:4 &qr3:0date3:0)Begin qr7:4=qr7:4;qr3:0=qr3:0+i;clky=0;endelse begin qr7:4=qr7:4;qr3:0=qr3:0+i;clky0elkA_1clrnAH fH匚0001C/11El mH匚hT X 48 X 49 X 50 X 51 X 52 X 53 X 54 X 55 X 56 X 5O 58 X 5oo X 01 X 02 X 03 X 04 X 05C20El nH E匚zooriC37S rH C01G/45田sH C00053田yH匚1111图7时间和日期仿真图第四章小结通过这次课设实训,在硬件方面,使我们对EDA试验箱有了很多的了解,弥 补了我们的知识的缺陷,同时对EDA试验箱内部的工作原理,以及EDA试验箱各 个实验模式的功能很作用有了一定的了解,学习了硬件引脚绑定过程,以及绑定 的一些基本常识,并动手完成了绑定,这样不仅拓宽我们的知识面,增强动手能 力和实践能力,同时还培养我们的分析和解决实际问题的能力。在软件方面,我们通过分组在软件Max+plus2上独立完成老师给我们的实训 题目一一电子钟。从开始分析点钟原理,在定义底层文件名称,编写底层文件程 序,然后链接各个模块,到最终完成测试,并运行成功,这些加强了我们的分析 问题的能力,提高了我们编程的能力,同时还培养了我们独立完成任务和查阅资 料删选资料的能力,虽然完成的软件在实际运用中还有很多不足,比如软件运行 的环境有限,程序的效率不高,在老师的要求上也不能全部到达预期的最好效果, 等等一些问题,这都需要我们以后更深层次的加以修改,使其更加简单更加方便 更加通俗易懂。电子日历一路编来可谓是坎坎坷坷,但大家都没有放弃,积极主动的找老师、 找同学帮忙解决难题。后来,又重新分析原理,重复操作,遇到困难马上向老师 提问,及时解决问题,这样的效果很明显。在这次实训中,我深深的感受到上课听讲的重要性,课设中遇到的很多问题 都是老师上课讲解的一些难点,自己没有很好的领悟的地方。每个学生对老师课 堂上讲的内容的接收都是不一样的,通过向老师请教,同学讨论,最后才得以解 决问题,实训才能得以成功。从整体看这次实训是很成功的,让我们都有很大的收获,同时也非常感谢在 实训过程中给予我们很大帮助的朱老师和黄老师,在老师耐心细心的指导下,我 才能很好的完成实训认务。谢辞在这里我要感谢我的老师朱路,朱老师上课的思路很清晰,很有调理。这样 也吸引我对EDA的兴趣,朱老师在上课讲的内容很生动,举的例子也很符合题意, 这样更有利于我的学习。当自己有不懂问朱老师时,朱老师很热情的分析给我听, 这样有利于我的理解,总之很感谢朱老师。参考文献1 潘松,黄继业.EDA技术实用教程,科学出版社,20022 侯伯亨,顾新.VHDL硬件描述语言修订版,西安技大学出版社,2004.3 赵曙光,郭万有.可编程逻辑器件原理,西安电子科技大学出版社,2000.4 徐志军,徐光辉.CPLD/FPGA的开发与应用,电子工业出版社,2002.5 夏宇闻.VerilogHDL数字系统设计教程,北京航空航天大学出版社,2003.6 黄智伟.FPGA系统设计与实践,电子工业出版社,2003.7 王金明等数字系统设计与verilog HDL,电子工业出版社,2002附录附录一时间实拍图
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 建筑环境 > 建筑资料


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!