verilog八位十进制计数器实验报告(附源代码)

上传人:z**** 文档编号:124584873 上传时间:2022-07-25 格式:DOCX 页数:8 大小:102.38KB
返回 下载 相关 举报
verilog八位十进制计数器实验报告(附源代码)_第1页
第1页 / 共8页
verilog八位十进制计数器实验报告(附源代码)_第2页
第2页 / 共8页
verilog八位十进制计数器实验报告(附源代码)_第3页
第3页 / 共8页
亲,该文档总共8页,到这儿已超出免费预览范围,如果喜欢就下载吧!
资源描述
8位10进制计数器实验报告实验目的学习时序逻辑电路学会用verilog语言设计时序逻辑电路掌握计数器的电路结构掌握数码管动态扫描显示原理二、实验内容实现一个8bit十进制(BCD码)计数器端口设置:用拨动开关实现复位和使能LED灯来表示8位数据1. 用数码管显示16进制的八位数据复位时计数值为8h0复位后,计数器实现累加操作,步长为1,逢9进1,,计数值达到8h99后,从0开始继续计数使能信号为1时正常计数,为0时暂停计数,为1时可继续计数。2. 每0.5s计数值加18位的结果显示在LED灯上,其中LED灯亮表示对应的位为1,LED灯灭表示对应的灯为0用isim进行仿真,用forever语句模拟时钟信号输入,并给变量赋值仿真initial语句。3. 用7段数码管的后两位显示16进制下8位结果。三、实验结果烧写结果:拨动reset开关到1时,LED灯显示10010000,7段数码管显示“90”。之后拨动WE开关呢,开始计数,LED开始变化并且7段数码管开始计数。从99后到达00,LED重新开始从00000000开始亮,且数码管重新从00开始计数。之后拨动WE开关,暂停计数,LED暂停亮灭,七段数码管暂停变化,WE拨回1,继续计数。拨动复位信号时,无视WE信号,直接复位。仿真结果:当输入reset信号时波形变化如下pwiw*卜电dnum3X+numl3Q比dkoaoaiOGi1101logiDODOLplWE-I_1_1_1|LQOnasbiLi1iIii110m1LHlidd12Dhiiiij1iiii1I1?0,j.i.i140JL_1_iu:1101xxxxr1041xxxxMM1_TLTJULTLTLT|SjLTUTTjTruuiJiJirLTLIUIZrui当达到一个扫描信号的周期时的波形如下当达到一个以上计数信号的周期时的波形t0011*11madTum(3JnumlffUHQQQ1iNiamcValue实验分析:实验原理:由于要求实现数码管和LED灯的显示,先考虑LED灯,可以直接由8位输出信号控制,而数码管需要同时显示两个不同的数字,需要时分复用,即快速的交替显示十位和个位,利用人眼的视觉暂留来达到同时显示。这样就需要两种不同的频率信号。一种是每0.5s一次,作为计数信号,用脉冲生成器生成,另一种是1ms一次的扫描信号,用降频器生成,将计数信号输入计数器来计数,并将计数的值和扫描信号同时输入扫描显示模块。在扫描显示模块里用一个变量值在0和1间交替来指导选择信号选择数码管的不位数。交替的条件是收到扫描信号。7段数码管和LED灯都与计数值的变量相连即可实现。实现细节1. 首先写一个脉冲生成器(div.v),每0.5s输出一次计数脉冲ent写一个计数器(ent.v)设置一个8位计数变量,分成两个4位变量dnum(十位)和num(个位)。如果接受到rst信号,则将计数变量置成x90.否则每次接受到计数信号,将计数变量的值增1,(同时考虑进位和回到x00的情况)2. 写一个扫描信号生成器(scan.v),每1ms生成一次扫描信号写一个显示器(display.v),设置对数码管位数的4位选择信号sel和led灯的控制变量dnum(高4位)和num(低四位)。设置seg作为7段数码管的控制变量。设置一个中间变量a(初值0),如果接受到scan信号,将a0变1或1变0.如果a为0,sel为x1101,显示数码管十位,如果a为1,sel为x1110,显示数码管个位。3. 以上各个模块均由时钟信号控制。4. 写一个top模块综合以上模块。附录(源代码):Div.v模块:modulediv(inputclk,inputrst,outputregent);reg25:0cnt_div;always(posedgeclkorposedgerst)beginif(rst)cnt_div=26b0;elseif(cnt_div=26d49_999_999)cnt_div=26b0;elsecnt_div=cnt_div+26b1;endalways(posedgeclkorposedgerst)beginif(rst)cnt=1b0;elseif(cnt_div=26d49_999_999)cnt=1b1;elsecnt=1b0;endendmodulecnt.v模块:modulecnt(inputclk,inputWE,inputrst,inputcnt,outputreg3:0dnum,outputreg3:0num);always(posedgeclk)beginif(rst)begindnum=4h9;num=4h0;endelseif(WE&cnt)beginif(num=4h9)beginnum=4h0;if(dnum=4h9)elsednum=dnum+4h1;endelsenum=num+4h1;endendendmodulescan.v模块:modulescan(inputclk,outputregscan_sgn);reg16:0scan_cnt;initialscan_sgn=0;initialscan_cnt=0;always(posedgeclk)beginif(scan_cnt=17d99_999)scan_cnt=17d0;elsescan_cnt=scan_cnt+17b1;endalways(posedgeclk)beginif(scan_cnt=17d99_999)scan_sgn=1b1;elseendendmoduledisplay.v模块:moduledisplay(inputclk,inputscan_sgn,input3:0num,input3:0dnum,outputreg7:0seg,outputreg3:0sel);rega=0;/initiala=0;always(posedgescan_sgn)beginif(a=1b0)a=1b1;elsea=1b0;endalways(posedgeclk)beginif(a=1b0)beginsel=4b1101;case(dnum)4h0:seg=8b0000_0011;4h1:seg=8b1001_1111;4h2:seg=8b0010_0101;4h3:seg=8b0000_1101;4h4:seg=8b1001_1001;4h5:seg=8b0100_1001;4h6:seg=8b0100_0001;4h7:seg=8b0001_1111;4h8:seg=8b0000_0001;default:seg=8b0000_1001;endcaseendelsebeginsel=4b1110;case(num)4h0:4h1:seg=8b1001_1111;4h2:seg=8b0010_0101;4h3:seg=8b0000_1101;4h4:seg=8b1001_1001;4h5:seg=8b0100_1001;4h6:seg=8b0100_0001;4h7:seg=8b0001_1111;4h8:seg=8b0000_0001;default:seg=8b0000_1001;endcaseendendendmoduletop模块:moduletop(inputclk,inputrst,inputWE,output7:0seg,output3:0sel,output3:0dnum,output3:0num);wire3:0dnum;wire3:0num;wirecnt;wirescan_sgn;divu_div(t);(clk),(rst),(cnt)cntu_cnt(.clk(clk),.rst(rst),.WE(WE),.cnt(cnt),.dnum(dnum).num);(num)scanu_scan(.clk(clk),.scan_sgn);(scan_sgn)displayu_display(.clk(clk),.sel(sel),.seg(seg),.dnum(dnum).num(num).scan_sgn);(scan_sgn)endmoduleucf文件:NetsegLOC=T17;NetsegLOC=T18;NetsegLOC=U17;NetsegLOC=U18;NetsegLOC=M14;NetsegLOC=N14;NetsegLOC=L14;NetsegLOC=M13;NetselLOC=N16;NetselLOC=N15;NetselLOC=P18;NetselLOC=P17;NETWELOC=T9;NETrstLOC=T10;NETclkLOC=V10;NetnumLOC=U16;NetnumLOC=V16;NetnumLOC=U15;NetnumLOC=V15;NetdnumLOC=M11;NetdnumLOC=N11;NetdnumLOC=R11;NetdnumLOC=T11;仿真代码:moduletest5;/Inputsregclk;regrst;regWE;/Outputswire7:0seg;wire3:0sel;wire3:0dnum;wire3:0num;/InstantiatetheUnitUnderTest(UUT)topuut(.clk(clk),.rst(rst),.WE(WE),.seg(seg),.sel(sel),.dnum(dnum),.num(num);initialbeginclk=0;#100;WE=1;rst=1;#10;rst=0;endalways#1clk=clk;endmodule対本实验的总结和体会;1、要仿真正确是烧写的前提,先仿真正确再烧写2、要给每个模块定义的变量一个initial语句,否则在仿真中会出现变量的值未定义的xxxx的情形3、实验时仿真一直出现的一个问题是没有写initial语句,导致各个模块的中间变量没有初值,而很多输出变量的变化条件都是根据中间变量的上升沿河下降沿来触发的,这样中间变量即使有值也不会产生电平变化,导致仿真时输出没有变化(虽然烧写到板子上没有问题)4、理解了仿真的原理是将整个project当成一个黑匣子,在isim的仿真程序中需要写语句模拟整个project的输入信号比如clk(用forever语句),rst和WE变量(在程序中赋值)5、为了能使仿真时各个变量异步的变化,比如在时钟变化的过程中使rst等其他输入变量变化,但是initial语句又是顺序执行的,此时可以写多个initial语句来并行得是变量变化。
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 办公文档 > 活动策划


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!