数字电视PPT课件

上传人:英*** 文档编号:102074636 上传时间:2022-06-06 格式:PPTX 页数:214 大小:2.45MB
返回 下载 相关 举报
数字电视PPT课件_第1页
第1页 / 共214页
数字电视PPT课件_第2页
第2页 / 共214页
数字电视PPT课件_第3页
第3页 / 共214页
点击查看更多>>
资源描述
模拟电视还有稳定度差、 可靠性低、 调整不便、 集成、 自动控制困难等缺点。 数字电视从节目采集、 编辑制作到信号的发送、 传输和接收全部采用数字处理, 其中利用了先进的数字图像压缩技术、 数字信号纠错编码技术、 高效的数字信号调制技术等。 在处理、 传输过程中引入的噪波只要幅度不超过一定的门限都可以被清除掉, 即使有误码, 也可利用纠错技术纠正过来, 所以数字电视接收的图像质量较高。 数字电视采用压缩编码技术, 在只能传送一套模拟电视节目的频带内传送多套数字电视节目, 电视频道数会相应地迅速增多。 第1页/共214页 数字电视便于开展多种数字信息服务, 如数据广播、 文字广播等。 数字电视容易实现加密、 加扰, 便于开展各类收费业务。 图8-1是数字电视广播系统方框图, 系统由信源编码、 多路复用、 信道编码、 调制、 信道和接收机六部分组成。 第2页/共214页图 8-1 数字电视广播系统方框图 信道接收机调制信道编码多路复用音频压缩视频压缩信源编码视频音频辅助数据控制数据第3页/共214页 信源编码是对视频、 音频、 数据进行的编码, 第6章中的图像压缩编码都属于信源编码,数字电视按照MPEG-2标准(详见6.3.6节)进行信源编码, 辅助数据可以是独立的数据业务, 也可以是和视频、 音频有关的数据, 如字幕等。 信源编码是为了提高数字通信传输效率而采取的措施, 是通过各种编码尽可能地去掉信号中的冗余信息, 以降低传输速率和减少传输频带宽度。 第4页/共214页 多路复用是将视频、 音频和数据等各种媒体流按照一定的方法复接成一个单一的数据流。 信道编码是指纠错编码, 是为提高数字通信传输的可靠性而采取的措施。 为了能在接收端检测和纠正传输中出现的错误, 在发送的信号中增加一部分冗余码, 因此信道编码增加了发送信号的冗余度, 它是以牺牲信息传输的效率来换取可靠性的。 数字通信系统是达到高效率和可靠性的最佳折衷, 信源编码和信道编码都是必不可少的处理步骤。 调制是根据传输信道的特点采用的效率较高的信号调制方式。 第5页/共214页 信道有卫星信道、 有线电视信道和地面广播信道等。 卫星广播着重于解决大面积覆盖的问题。 有线电视广播着重于解决城镇等人口居住稠密地区“信息到户”的问题。 而地面无线广播由于其所独具的简单接收和移动接收的能力, 能够满足现代信息化社会“信息到人”的基本需求。 接收机包括解调、 信道解码、 解复用、 视音频解压缩、 显示格式转换等。 数字电视分为标准清晰度电视和高清晰度电视。 第6页/共214页 标准清晰度电视(SDTV, Standard Definition Television)是指质量相当于目前模拟彩色电视系统(PAL、 NTSC、 SECAM)的数字电视系统, 也称为常规电视系统。 其来源是ITU-R 601 标准的422的视频, 经过某些数据压缩处理后所能达到的图像质量, 清晰度约为500电视线。 第7页/共214页 高清晰度电视(HDTV, High Definition Television)是指水平清晰度和垂直清晰度大约为目前模拟彩色电视系统的2倍, 宽高比为169的数字电视系统。 根据ITU的定义, 一个具有正常视觉的观众在距离高清晰度电视机大约是显示屏高度3倍的地方所看到的图像质量应与观看原景象或表演时所得到的印象相同。 第8页/共214页 8.1.2 信道编码 1. 信道编码的一些基本概念 (1) 分组码和卷积码 在分组码中, 编码后的码元序列每n位为一组, 其中k位是信息码元, r位是附加的监督码元, r=n-k, 通常记为(n, k)。 分组码的监督码元只与本码组的信息码元有关。 卷积码的监督码元不仅与本码组的信息码元有关, 还与前面几个码组有约束关系。 第9页/共214页 (2) 线性码和非线性码 若信息码元与监督码元之间的关系是线性的, 即满足一组线性方程, 称为线性码; 反之, 两者若不满足线性关系, 则称为非线性码。 (3) 系统码和非系统码 在编码后的码组中, 信息码元和监督码元通常都有确定的位置, 一般信息码元集中在码组的前k位, 而监督码元位于后r=n-k位。 如果编码后信息码元保持原样不变, 则称为系统码; 反之称为非系统码。 (4) 码长和码重 码组或码字中编码的总位数称为码组的长度, 简称码长; 码组中非零码元的数目称为码组的重量, 简称码重。 例如“11010”的码长为5, 码重为3。 第10页/共214页 (5) 码距和最小汉明距离 两个等长码组中对应码位上具有不同码元的位数称为汉明(Hamming)距离, 简称码距。 例如, “11010”和 “01101”有4个码位上的码元不同, 它们之间的汉明距离是4。 在由多个等长码组构成的码组集合中, 定义任意两个码组之间距离的最小值为最小码距或最小汉明距离, 通常记作dmin, 它是衡量一种编码方案纠错和检错能力的重要依据。 以3位二进制码组为例, 在由8种可能组合构成的码组集合中, 两码组间的最小距离是1, 例如“000”和“001”之间, 因此dmin=1; 如果只取“000”和“111”为准用码组, 则这种编码方式的最小码距d=3。 第11页/共214页 对于分组码, 最小码距dmin与码的纠错和检错能力之间具有如下关系: 在一个码组集合中, 如果码组间的最小码距满足dmine+1, 则该码集中的码组可以检测e位错码; 如果满足dmin2t+1则可以纠正t位错码; 如果满足dmint+e+1则可以纠正t位错码, 同时具有检测e位错码的能力。 第12页/共214页 任意两个准用码组之和(逐位模2加)仍为一个准用码组, 即具有封闭性; 两个码组之间的距离必定是另一码组的重量, 因此码的最小距离等于非零码的最小重量; 线性码中的单位元素是A=0, 即全零码组, 因此全零码组一定是线性码中的一个元素; 线性码中一个元素的逆元素就是该元素本身, 因为A+A=0。 第13页/共214页 (7) 循环码 是一种系统码, 通常前k位为信息码元, 后r位为监督码元。 它除了具有线性分组码的一般性质以外, 还具有循环性, 也就是说当循环码中的任一码组循环移动一位以后, 所得码组仍为该循环码的一个准用码组。 第14页/共214页 数码用多项式来表示是一种比较直观的方法, 如五位二进制数字序列11010可表示为: 124123022121020=11010 通常在编码中, 以x表示系数只取0、 1的多项式的基, 则上述五位二进制序列可表示为: 1x41x30 x21x10 x0=x4x3x第15页/共214页 这种以多项式的系数表示二进制序列的方法给编码处理带来了方便, 一个(n, k)循环码的k位信息码可以用x的k-1次多项式来表示, 即 A(x)=ak-1xk-1+ak-2xk-2a2x2+a1x+a0 (8-1) 式中, an-1a0为多项式的0、 1系数值; x表示多项式的基, x的次数k-10表示该位在码中的位置。 第16页/共214页 式中, an-1a0为多项式的0、 1系数值; x表示多项式的基, x的次数k-10表示该位在码中的位置。 循环码的编码规则是: 把k位信息码左移r位后被规定多项式除, 将所得余数作校验位加到信息码后面。 规定的多项式称为生成多项式, 用G(x)表示。 要将A(x)左移r位, 只要乘上xr, 得到xrA(x)。 用生成多项式G(x)除xrA(x)便可得到余数R(x), 即 第17页/共214页 xrA(x)=G(x)Q(x)+R(x) (8-2) 两边加上R(x), 得 xrA(x)+R(x)=G(x)Q(x)+R(x)+R(x) 因为R(x)+R(x)= 0。 xrA(x)+R(x)= G(x)Q(x) (8-3) 上式表明xrA(x)+R(x)可被生成多项式G(x)除尽。 第18页/共214页 用这种编码方法能产生出有检错能力的循环码 (n, k)。 在发送端发出信号U(x)= xrA(x)+R(x), 如果传送未发生错误, 收到的信号必能被G(x)除尽, 否则表明有错。 第19页/共214页 (8) BCH码 是根据3个码的发明人Bose、 Chaudhuri和Hocquenghem命名的。 BCH码解决了生成多项式与最小码距之间的关系问题, 根据所要求的纠错能力, 可以很容易地构造出BCH码。 它们的译码也比较简单, 因此是线性分组码中应用最为普遍的一类码。 BCH码分为本原BCH码和非本原BCH码。 第20页/共214页 本原BCH码的码长n=2m-1, m为任意正整数, 本原BCH码的生成多项式G(x)含有最高次数为m次的本原多项式, 最高次数为m的本原多项式必须是一个能除尽 的既约因式, 但除不尽xr-1, r2m-1。 例如当m=3, 2m-1=8-1=7, 此时最高次数为3次的本原多项式有两个: x3+x2+1; x3+x+1, 它们都除得尽x7-1, 但除不尽x6-1、 x5-1、 。 211mx第21页/共214页 非本原BCH码的码长n是2m-1的一个因子, 即码长n一定除得尽2m-1。 且非本原BCH码的生成多项式中不含本原多项式。 BCH码的码长n与监督位、 纠错能力之间的关系如下: 对任一正整数m和t, tm/2, 必存在一个码长n=2m-1, 监督位不多于mt位, 能纠正所有小于或等于t位随机错误的二进制本原BCH码。 若码长n=(2m-1)i(i1, 且除得尽2m-1), 则为非本原BCH码。 表8-1为部分本原BCH码。 第22页/共214页表8-1 部分本原BCH码 第23页/共214页第24页/共214页 (9) 级连编码 信道中由噪声引起的误码一般分为两类: 一类是由随机噪声引起的随机性误码; 一类是由冲击噪声引起的突发性误码。 在实际通信信道中出现的误码是混合型误码, 是随机性误码和突发性误码的混合。 纠正这类混合误码, 要设计既能纠随机性误码又能纠突发性误码的码。 交错码、 乘积码、 级连码均属于这类纠错码, 而性能最好、 最有效、 最常采用的是级连码。 第25页/共214页 级连码是一种由短码构造长码的方法。 通常采用一个二进制的(n1, k1)码c1为内编码, 另一个非二进制的(n2, k2)码c2为外编码就能组成一个简单的级连码。 一般外编码c2采用RS码, 内编码c1采用分组码或卷积码。 图8-2是级连码编解码方框图。 第26页/共214页图 8-2 级连码编解码方框图 n1n1n2个外编码器(n2, k2)输入编码器内编码器(n1, k1)k1k1n2个k1k1k2个外译码器(n2, k2)输出译码器内译码器(n1, k1)k1k1n2个k1k1k2个信道第27页/共214页 在编码时, 首先将k1k2个二进制信息元(码元)划分为k2个码字, 每个码字有k1个码元, 把码字看成是多进制码中的一个符号。 k2个码字编码成(n2, k2)RS码的外码c2, c2有k2个信息符号, n2-k2个监督符号。 每一个码字内的k1个码元按照二进制分组码或卷积码编成(n1, k1)的内码c1, c 1有k1个信息码元, n1-k1个监督码元。 第28页/共214页 这样构成总共有n1n2码元的编码(n1n2, k1k2)。 若内码与外码的最小距离分别为d1和d2, 则它们级连后的级连码最小距离至少为d1d2。 级连码编译码也可分为两步进行, 其设备仅是c1与c2直接组合, 显然它比直接采用一个长码构成时设备要简单得多。 以RS码为外码、 卷积码为内码的级连编码对随机性误码和突发性误码有很强的纠错能力, 接收端经纠错译码后一般可达到10-1010-11比特误码率。 第29页/共214页 (10) 前向纠错 信道编码常用的差错控制方式有前向纠错(FEC, Forward Error Correction)、 检错重发(ARQ)、 反馈校验(IRQ)和混合纠错(HEC)。 第30页/共214页 数字电视中的差错控制采用前向纠错方式, 在这种方式中, 接收端能够根据接收到的码元自动检出错误和纠正错误。 纠错编码的基本思想是在所要传输的信息序列上附加一些码元, 附加的码元与信息码元之间以某种确定的规则相关联。 接收端按照这种规则对接收的码元进行检验, 一旦发现码元之间的确定关系受到破坏, 便可通过恢复原有确定关系的方法来纠正误码。 数字电视的前向纠错包括四个部分, 即能量扩散(Energy Dispersal)、 RS编码、 交织(Interleaving)和卷积编码(Convolutional Coding)。 第31页/共214页 2. 能量扩散 能量扩散也称为随机化、 加扰或扰码。 在数字电视广播过程中会出现码流中断或码流格式不符合MPEG-2的TS流结构的情况, 这种情况会导致调制器发射未经调制的载波信号。 当数字基带信号是周期不长的周期信号时, 已调波的频谱将集中在局部并含有相当多的高电平离散谱。 这两种情况会引起对处于同一频段的其他业务的干扰超过规定值。 第32页/共214页 另外, 信源码流中可能会出现长串的连“0”或连“1”状况, 这将给接收端恢复位定时信息造成一定的困难。 为消除上述两种情况, 将基带信号在随机化电路中进行能量扩散, 信号扩散后具有伪随机性质, 其已调波的频谱将分散开来。 第33页/共214页 来自MPEG-2传送复用器的码流TS包的包长固定为188字节, 最前面的同步字节是“01000111”(47H)。 这个码流在如图8-3所示的随机化电路中进行能量扩散。 随机化电路是最长M序列伪随机码发生器, 在DVB中, 其生成多项式为: G(x)1+x14+x15 (8-4) 由它生成的伪随机二进制序列(PRBS, Pseudorandom Binary Sequence)与输入码流进行模2加, 码流数据就随机化了。 接收端的去随机化电路将PRBS与接收到的已随机化数据进行模2加, 便可恢复随机化以前的数据。 第34页/共214页图 8-3 随机化和去随机化电路 123456789 10 11 12 13 14 15111100000000000异或门与门异或门数据输出数据输入Enable初始值00000011第35页/共214页 同步发送端的随机化电路与接收端的去随机化电路, 在DVB中, 每 8 个 T S 数 据 包 将 移 位 寄 存 器 初 始 化 一 次 , 初 始 值 设 置 为100101010000000。 为了标志这个初始化时刻, 每8个TS包的第一个TS数据包的同步字节进行比特翻转, 从47H翻转到B8H。 在其他7个数据包的同步字节期间, PRBS继续产生, 但“使能”信号无效, 使输出断路, 同步字节保持47H不变。 因此, PRBS周期为8188-11503字节。 PRBS序列周期的第1个比特加到翻转同步字节B8H后的第1个比特。 当调制器的输入码流断路或者码流格式不符合MPEG-2传送流结构时, 随机化电路应继续工作, 以避免调制器发射未经调制的载波信号。 发送端在进行能量扩散后, 再进行RS编码。 第36页/共214页 3. RS编码 RS码是里德索洛蒙(Reed-Solomon)码的简称, 是一类纠错能力很强的多进制BCH码。 BCH码的码元都是取0或1的二进制码, 如果BCH码的每一码元是2m进制中的一个m重元素, 就称为多进制BCH码或RS码。 在(n, k)RS码中, 输入信号每km比特为一个码字, 每个码元由m比特组成, 因此一个码字共包含k个码元。 一个能纠正t个码元错误的RS码主要参数如下: 第37页/共214页 (1) 字长n=2m-1码元, 或m(2m-1)比特; (2) 监督码元数n-k=2t码元, 或m2t 比特; (3) 最小码距dmin=2t+1码元, 或m(2t+1)比特。 伽罗华域(Galois Field)是由2m个符号及相应的加法和乘法运算所组成的域, 记为GF(2m)。 例如, 两个符号“0”和“1”, 与模2加法和乘法一起, 组成二元域GF(2)。 第38页/共214页 为了定义GF(2m)中的所有元素, 从两个符号(“0”和“1”)及一个m次多项式P(x)开始。 现在引入一个新符号, 并设P()=0。 如果适当选择P(x), 可使从02m-2次幂各不相同, 且 。 这样, 0, 1, , 2, , 就构成了GF(2m)中的全部元素, 而且每一元素还可以用其他元素之和表示。 例如, 在m=4及P(x)=x4+x+1时, P()=4+1=0, 即4=+1, 则的各次幂分别为211m22m第39页/共214页234=+15=(+1)=2+6=(2+)=3+27=(3+2)=4+3=3+18=(3+1)=4+2+=2+1=2+19=(2+1)=3+10=(3+)=4+2=2+1第40页/共214页11=(2+1)=3+12=(3+2+)=4+3+2=3+2+113=(3+2+1)=4+3+2+=3+2+114=(3+2+1)=3+115=(3+1)=+1=1第41页/共214页 一般来说, 如果GF(2m)中一个元素的幂可以生成GF(2m)的全部非零元素, 我们就把该元素称为本原元素。 在本例中, 除之外, 可以验证4也是GF(2m)的本原元素。 对于一个长度为2m-1的RS码组, 其中每个码元都可以看成是伽罗华域GF(2m)中的一个元素。 最小码距为dmin的RS码生成多项式具有如下形式 g(x)=(x+)(x+2)(x+dmin) 第42页/共214页 其中, 就是GF(2m)的本原元素。 例如, 要构造一个能纠正3个错误码元、 码长n=15, m=4 的RS码, 则可以求出该码的最小码距为7个码元, 监督码元数为6, 因此是一个(15, 9)RS码, 其生成多项式为 g(x) =(x+)(x+2)(x+3) (x+4)(x+5)(x+6) =x6+10 x5+14x4+4x3+6x2+9x+6 (8-5)第43页/共214页 从二进制码的角度来看, 这是一个(60, 36)码。 RS码能够纠正t个m位二进制错误码组。 至于一个m位二进制码组中到底有1位错误, 还是m位全错了, 并不会影响到它的纠错能力。 从这一点来说, RS码特别适合于纠正突发错误, 如果与交织技术相结合, 它纠正突发错误的能力则会更强。 因此RS码广泛应用在既存在随机错误又存在突发错误的信道上。 第44页/共214页 在数字电视中, 一个符号是一个8比特的字节, 因此总共有28256种符号, 这256种符号组成伽罗华域GF(28)。 用8次本原多项式P(x)=x8+x4+x3+x2+1来定义GF(28), GF(28)的非0元素可用的P(x)一个根的幂0、 、 2、 、 254表示。 第45页/共214页 定义在伽罗华域GF(28)上的RS码是码长 n=28-1=255的本原BCH码。 作为BCH码, 它是一种具有生成多项式的循环码。 对于能纠正t=8个字节错误的RS(255, 239)码, 码间的最小距离为2t+1=17, 其生成多项式g(x)为 g(x)= (x+)(x+2)(x+16) (8-6) 对于每一个RS码c=(c254, c253, , c1, c0)可用如下码字多项式表示 c(x)=c254x254+c253x253+c1x+c0 (8-7)第46页/共214页 每一个码字多项式c(x)都是g(x)的倍式 c(x)=m(x)g(x) (8-8) 其中, m(x)是最高为238次的多项式。 要生成RS(255, 239)由式(8-3)可得 x16m(x)+r(x)=g(x)q(x) (8-9) 式中, q(x)是用g(x)除x16m(x)所得的商式; r(x)是余式, 其次数不大于15。 上式的左边是g(x)的倍式, 可以作为码字多项式 c(x) = x16m(x)+r(x) (8-10) 第47页/共214页 若将m(x)作为由239个信息字节组成的信息多项式, 将r(x)作为由16个校验字节组成的校验多项式, 则由式(8-10)可见, 信息字节和校验字节在RS(255, 239)码中前后分开, 不相混淆, 形成系统RS码。 第48页/共214页 RS编码就是要用多项式除法找到用g(x)除x16m(x)所得的余式r(x), 从而确定校验字节。 对于截短的RS(204, 188)码, 由于附加的51个0字节位于m(x)的高位, 在做除法时可不予考虑, 就用188个信息字节组成信息多项式作为m(x)即可。 RS(204, 188)编码器电路如图8-4所示。 生成多项式g(x)作为除式, 其系数由式(8-6)计算出来并存放在数组g(i)(i=0,1,, 16)中。 被除式是信息多项式x16m(x), 其系数存放在数组in(i)(i=16, 17, , 203 时为信息字节, i=0, 1, , 15时为0)。 第49页/共214页图 8-4 RS(204, 188)编码电路 Z1Z1Z1Z1g (16)1g (15)g (14)g (1)g (0)第50页/共214页 该电路的工作过程如下: (1) 开始运算时16级移位寄存器(图中用Z-1表示)全部清0。 第一个移位节拍后, 被除多项式的最高次项X203的系数in(203)首先进入移位寄存器的最左一级。 经过16次移位后in(203)进入到移位寄存器的最右一级, 此时自右至左移位寄存器中的内容为in(203), in(202), , in(188)。 第51页/共214页 (2) in(203)输出与g(16)- 1相乘得temp, 第17次移位后, temp反馈到后面各级移位寄存器中, 使各级移位寄存器的内容为原内容加上te mpg(i)(i=0 ,1 ,, 1 5 ) 。 此 时 移 位 寄 存 器 中 自 左 至 右 内 容 为(i n( 1 8 7 ) +t e mp g( 0 ) ) , (i n( 1 8 8 ) +t e mp g( 1 ) ) , , (in(202)+tempg(15)。 第52页/共214页 (3) 依此类推, 经过204次移位后, 完成整个除法运算, 移位寄存器中的内容就是余式r(x)的系数。 得到了余式r(x)的系数后, 也就得到了校验字节c15、 、 c0。 将这些校验字节加在信息字节之后, 就得到了204个字节的码字, 从而完成了编码。 第53页/共214页 上述加法和乘法运算是在伽罗华域GF(28)上进行的, 巳经随机化的数据每个字节映射成伽罗华域GF(28)中的一个元素, 256个元素中, 除0和1之外都是由本原多项式(x)=8+4+3+2+1 推算出来的, GF(28)中=02H。 表-2列举出了14个元素和一字节二进制数之间的映射关系和推导。 用类似的方法可以得出表8-3, 8位二进制数的字节表示和GF(28)元素的幂次对照表。 第54页/共214页表-2 GF(28)中元素和二进制字节之间的映射关系和推导第55页/共214页第56页/共214页 伽罗华域GF(28)中的加法运算0+7+7+6+6+3=0+3=0000 0001+0000 1000=0000 1001=223。 伽罗华域GF(28)中的乘法运算23=5, 元素相乘时, 只需将指数相加再对255取模即可。 例如2536=259=4。 第57页/共214页 具体实现时, 可以按照表8-3用ROM事先建立一个“字节表示”与“幂次表示”的关系表, 用查表法将8位二进制数转换为伽罗华域GF(28)中元素的幂次, 再按照上述加法、 乘法运算规则运算, 最后把所得结果再查表将GF(28)中元素转换为字节表示。 第58页/共214页 4. 交织(interleaving) 为了增强RS码纠正突发错误的能力常常使用交织技术, 交织的作用是减小信道中错误的相关性, 把长突发错误离散为短突发错误或随机错误。 交织深度越大, 则离散程度越高。 第59页/共214页 交织分为分组交织和卷积交织两种类型, 分组交织比较简单, 对一个(n, k)分组码进行深度为m的分组交织时, 把m个码组按先行后列排成一个mn的码阵。 码元aij的下标i为行号, 下标j为列号, 排成a11、 a12a1n、 a21、 a22、 a2n、 am1、 am2、 amn形式。规定以先列后行的 次 序 自 左 至 右 的 顺 序 传 输 , 即 以a1 1、 a2 1、 am1、 a1 2、 a22、 am2、 a1n、 a2n、 amn的顺序传输。 接收端的去交织则执行相反的操作, 把收到的码元仍排成a11、 a12a1n、 a21、 a22、 a2n、 am1、 am2、 amn形式, 以行为单位, 按(n, k)码的方式进行译码。 第60页/共214页 经过交织以后, 每个(n, k)码组的相邻码元之间相隔m-1个码元。 因此, 当接收端收到交织的码元后, 若仍恢复成原来的码阵形式, 就把信道中的突发错误分散到了m个(n, k)码中。 如果一个(n, k)码可以纠正t个错误(随机或突发), 则交织深度为m时形成的mn码阵就能纠正长度不大于mt的单个突发错误。 显然, 交织方法是一种时间扩散技术, 它把信道错误的相关性减小了, 当m足够大时就把突发错误离散成随机错误。 第61页/共214页 卷积交织比上述分组交织要复杂, DVB采用的是卷积交织, DVB的交织器和去交织器如图8-5所示。 交织器由I=12个分支组成, 在第j(j0, 1, I-1)分支上设有容量为jM个字节的先进先出(FIFO)移位寄存器。 图中的M17, 交织器的输入与输出开关同步工作, 以1字节位置的速度进行从分支0到分支I-1的周期性切换。 接收端在去交织时, 应使各个字节的延时相同, 因此采用与交织器结构类似但分支排列次序相反的去交织器。 第62页/共214页 为了使交织与去交织开关同步工作, 在交织器中使数据帧的同步字节总是由分支0发送出去, 这由下述关系可以得到保证: NIM1217204 (8-11) 即17个切换周期正好是纠错编码包的长度。 去交织器的同步可以通过从分支0识别出同步字节来完成。 第63页/共214页图 8-5 DVB的卷积交织器和去交织器 每位置一字节11MMM0011221111同步字通路每位置一字节11M009101111同步字通路910卷积交织器卷积去交织器M MMMMM MM第64页/共214页 卷积交织器用参数(N, I)来描述, 图8-5 所示的是(204, 12)交织器。 很容易证明, 在交织器输出的任何长度为N的数据串中, 不包含交织前序列中距离小于I的任何两个数据。 I称为交织深度。 对于(204, 188)RS码, 能纠正连续8个字节的错误, 与交织深度I=12 相结合, 可具有最多纠正12896个字节长的突发错误的能力。I越大纠错能力越强, 但交织器与去 交织器总的存储容量S和数据延时D与I有关: S=D=I(I-1)M (8-12) 在DVB中, 交织位于RS编码与卷积编码之间, 这是因为卷积码的维特比译码会出现差错扩散, 引起突发差错。 第65页/共214页 5. 卷积编码(Convolutional Coding) 分组码编译码时要把整个码组存储起来, 处理时会产生较长的延时。 卷积码的码长n和信息码元个数k通常较小, 故延时小, 特别适合于以串行形式传输信息的场合。 卷积码在任何一个码组中的监督码元不仅与本组的k个信息码元有关, 而且与前面N-1段的信息码元有关。 随着N的增加, 卷积码的纠错能力增强, 误码率则呈指数下降。 第66页/共214页 (1) 编码器 卷积码的编码器由移位寄存器和加法器组成。 输入移位寄存器有N段, 每段有k级, 共Nk位寄存器, 主要负责存储每段的k个信息码元; 各信息码元通过n个模2加法器相加, 产生每个输出码组的n个码元, 并寄存在一个n级的移位寄存器中移位输出。 编码过程是输入信息序列与由移位寄存器和模2加法器之间连接所决定的另一个序列的卷积, 因此称为卷积码。通常把N-1称为卷积码的约束长度, 卷积码则记为(n, k, N-1), 其中n为码长, k为码组中信息码元的个数, 即编码器每输入k比特, 输出n比特; 编码效率为R=k/n。 第67页/共214页 图8-6(a)为(2, 1, 2)卷积编码器的结构, 图中没有画出延时为零的第一级移位寄存器, 并用转换开关代替了输出移位寄存器。 它的编码方法是: 输入序列依次送入一个两级移位寄存器, 编码器每输入一位信息bi, 输出端的开关就在c1、 c2之间切换一次, 输出c1,i和c2,i, 其中 c1,i=bi+bi-1+bi-2 (8-13) c2,i=bi+bi-2 (8-14) 设寄存器m1, m2的起始状态为全零, 则编码器的输入输出时序关系见图8-6(b)所示。 第68页/共214页 图 8-6 (2,1,2)卷积编码器 (a) 编码器结构; (b) 输入输出时序关系M1M2输入bibi1bi2c1, ic2, i输出tc1,1c2,1c1,2c2,2c1,3c2,3c1,4c2,4b1b2b3b4t输出输入(a)(b)第69页/共214页 卷积码常常采用树状图、 网格图和状态图进行研究。 (2, 1, 2)卷积码编码电路的树状图如图8-7所示。 这里用a, b, c和d表示寄存器m2、 m1的4种可能状态(00, 01, 10和11), 并作为树状图中每条支路的节点。 以全零状态a为起点, 当第1位信息b1=0时, 输出码元c1c2=00, 寄存器保持状态a不变, 对应图中从起点出发的上支路; 当b1=1时, 输出码元c1c2=11, 寄存器则转移到状态b, 对应图中的下支路;然后再分别以这两条支路的终节点a和b作为处理下一位输入信息b2的起点, 从而得到4条支路。 第70页/共214页状态b01c10d11a00a1100abb0110cdc0011abd1001cda1100abb0110cdc0011abd1001cd上半部下半部a0011b1001cd11000110b0110a11000011a起点01信息图 8-7第71页/共214页 依此类推, 可以得到整个树状图。 显然, 对于第i位输入信息, 图中将会出现2i条支路。 但从第4位信息开始, 树状图的上半部和下半部完全相同, 这意味着此时的输出码元已和第1位信息无关, 由此可以看出把卷积码的约束长度定义为N-1的意义。 图中还用虚线标出了输入信息序列为“1101”时的支路运动轨迹和状态变化路径, 从中可以读出对应输出码元序列为“11010100”。 第72页/共214页图 8-8 (2,1,2)卷积码网格图 000000000011111111111111111010101001010101000000010101101010当输入为0时当输入为1时状态a00b01c10d11第73页/共214页 利用树状图中观察到的重复性, 把其中具有相同状态的节点合并到一起, 可以得到更为紧凑的网格图如图8-8所示。 网格图也称格形图, 这种图仍由节点和支路组成, 4行节点分别表示a, b, c, d四种状态; 支路则代表了状态之间的转移关系, 其中实线支路表示输入信息为“0”, 虚线支路表示输入信息为“1”, 支路上标注的码元为当前输出。 一般情况下, 网格图应有2k(N-1)种状态, 从第N节开始图形同样会出现重复。 利用网格图同样可以得到任意输入信息序列下的输出序列和状态变化路径。 图8-8中假设起始状态为0, 输入序列为“110111001000”, 则对应的输出序列和状态变化将如图8-9所示。 第74页/共214页 图 8-9 (2,1,2)卷积码编码过程和状态变化输入序列状态a b d c b d d c a b c a aabdc输出序列 11 01 01 0001 10 01 11 11 10 11 00第75页/共214页 图 8-10 (2,1,2)卷积码状态图 bcad1/000/100/110/011/011/110/001/10输入/输出第76页/共214页 图8-10是(2,1,2)卷积码的状态图转换图。 状态图有2k(N-1)种可能状态(节点), 每个节点会引出2k条支路, 同时也会有2k条来自其他节点或本节点的支路到达。第77页/共214页 (2) 维特比译码(Viterbi) 卷积 码的译码方法分为代数译码和概率译码两大类。 前者硬件实现简单, 但性能较差; 后者利用了信道的统计特性, 译码性能好, 但硬件复杂, 常用的有维特比译码。 维特比译码比较接收序列与所有可能的发送序列, 选择与接收序列汉明距离最小的发送序列作为译码输出。 通常把可能的发送序列与接收序列之间的汉明距离称为量度。 如果发送序列长度为L, 就会有2L种可能序列, 需要计算2L次量度并对其进行比较, 从中选取量度最小的一个序列作为输出。 因此, 译码过程的计算量将随着L的增加而增长。 第78页/共214页 维特比译码使用网格图描述卷积码, 每个可能的发送序列都与网格图中的一条路径相对应。 如果发现某些路径不可能具有最小量度, 就放弃这些路径,在剩下的幸存路径中选择。 对于(n, k, N-1)卷积码, 网格图中共有2k(N-1)种状态, 每个节点(状态)有2k条支路引入, 也有2k条支路引出。 现以全零状态为起点, 由前N-1条支路构成的2k(N-1)条路径互不相交。 从第N条支路开始, 每条路径都将有2k条支路延伸到下一级节点, 而每个节点也将汇聚来自上一级不同节点的2k条支路。 第79页/共214页 维特比译码算法的基本步骤为: 对于网格图第i级的每个节点, 计算到达该节点的所有路径的量度, 即在前面i-1级路径量度的基础上累加第i条支路的量度, 从中选择量度最小的幸存路径。 第80页/共214页 (3) 收缩卷积码(Punctured Convolutional Codes) 维特比译码器的复杂性随2k(N-1)指数增长, 为降低译码器的复杂性, 常采用(2, 1, N-1)卷积码, 其编码效率为12。 在数字图像通信传输速率较高的场合, 又希望编码效率比较高, 有效的解决办法就是引入收缩卷积码。 第81页/共214页 收缩卷积码通过周期性地删除低效率卷积编码器, 如(2, 1, N-1)编码器输出序列中某些符号实现高效率编码。 在接收端译码时, 再用特定的码元在这些位置进行填充, 然后送给(2, 1, N-1)码的维特比译码器译码。 卷积收缩码的性能可以做到与最好码的性能非常接近。DVB-S采用基于(2, 1, 6)的收缩卷积码, 如图8-11所示。 编码效率可以是12、 23、 34、 56、 78, 收缩卷积码的码表如表8-4所示。 第82页/共214页图 8-11 (2, 1, 7)收缩卷积码编码器M0M1M2M3M4M5M6比特删除比特删除串并变换IQYXG1171QG2133Q第83页/共214页 表8-4 (2, 1, 7)收缩卷积码的码表 第84页/共214页 表8-4中1为传输位, 0为不传输位, X、 Y代表 (2, 1, 6)卷积编码器的并行输出序列, 分别由生成多项式G1、 G2产生, G1=171Q=1111001B, G2=133Q=1011011B, Dfree是卷积码的自由距离。 以编码效率R=34为例, 它是分别将X、 Y按每3比特分为一组, 按照删除矩阵P进行比特删除, 即x序列每组的3个比特中, 第1比特、 第3比特传输, 第2比特被删除;序列每组的3个比特中, 第1比特、 第2比特传输, 第3比特被删除, 得到的串行输出为X1、 Y1、 Y2、 X3、 Y3、 , 然后再进行串并变换, 得到I=X1、 Y2、 , Q=Y1、 X3、 第85页/共214页 8.1.3 调制 1. 正交幅度调制(QAM, Quadrature Amplitude Modulation) 正交幅度调制也称为正交幅移键控。 这种键控由两路数字基带信号对正交的两个载波调制合成而得到。 通常有2电平正交幅移键控(2-QAM或4QAM)、 4电平正交幅移键控(4-QAM或16QAM)、 8电平正交幅移键控(8-QAM或64QAM)等。 电平数m和信号状态M之间的关系是M=m2。 第86页/共214页 图8-12是MQAM正交振幅调制方框图。 调制信号S(t)由分裂器分成I、 Q两路信号, 再经2-m电平变换器从2电平信号变成m电平信号x(t)、 y(t),用x(t)、 y(t)对正交的两个载波cosct和sinct进行调幅再相加得到已调信号MQAM。 第87页/共214页图 8-12 MQAM正交振幅调制方框图 2-m电平变换器相加/ 2MQAM2-m电平变换器分裂器x(t)y(t)cosctIQS(t)第88页/共214页 在图8-12中, 上支路用的载波相位为0, 下支路用的载波相位是2。 因此,上支路称为同相信道; 下支路称为正交信道。 图8-12中的2-m电平变换有一定的逻辑关系, 这种变换逻辑称为电平逻辑, 常用的电平逻辑有自然码和格雷码两种。 对16QAM是2-4电平变换,输入信号I的码组为a1、 a2, 输入信号Q的码组为b1、 b2; a1、 b1表示同相信道与正交信道电平基带信号的极性, a2、 b2表示两个信道的4电平信号的电平值。 定义如表8-5所示。 第89页/共214页 从表8-5中可以看出, 当极性码a1或b1为1时, 表示信号的极性为正(+3 V和+1 V), 当a1或b1为0时, 表示信号的极性为负(-3 V和-1 V)。 电平码a2、 b2的定义对应的自然码和格雷码是不相同的。 在自然码逻辑中, a2或b2为1表示信号在正值域或负值域内是高电平, 即+3 V和-1 V; a2或b2为0表示信号在正值域或负值域内是低电平, 即+1 V和-3 V。而在格雷码逻辑中, a2 或b2为1表示信号电平的绝对值为低电平, 即+1 V和-1 V; a2或b2为0表示信号电平的绝对值为高电平, 即+3 V和-3 V。 第90页/共214页 表8-5 2-4电平变换的关系 第91页/共214页 正交幅移键控信号的解调采用正交相干解调器, 如图8-13所示。 MQAM信号经相干解调后, 在输出端分别得到两个m电平信号x(t)和y(t), 再对m电平信号进行判决, 恢复二进制信号I、 Q, 最后将I、 Q信号合成为S(t)。 第92页/共214页图 8-13 MQAM正交振幅解调方框图 / 2cosctMQAM低通低通m-2电平判决器m-2电平判决器合成IQx(t)y(t)S(t)第93页/共214页 2. 四相相移键控(QPSK, Quaternary Phase Shift Keying) 在QPSK中, 数字序列相继两个码元的4种组合对应着4个不同相位的正弦载波, 即00、01、 10、 11分别对应 , 其中0t2T, T为比特周期。 图8-14(a)是QPSK相位矢量图, 图中I表示同相信号, Q表示正交信号。 000033cos()cos()cos()cos()4444ccccAtAtAtAt、第94页/共214页 图8-14(b)是QPSK星座图, 星座图中不画矢量箭头只画出矢量的端点。 星座图中星座间的距离越大, 信号的抗干扰能力就越强, 接收端判决再生时就越不容易出现误码。 星座间的最小距离表示调制方式的欧几里德距离。 欧几里德距离d可表示为信号平均功率S的函数。 QPSK信号的欧几里德距离与平均功率的关系为 。 2dS第95页/共214页 图 8-14 QPSK的矢量图和星座图 (a) 矢量图; (b) 星座图ImImReRedI1Q0I1Q1I0Q0I0Q1(a)(b)第96页/共214页 图8-15是QPSK调制器的原理方框图, 码率为R的数字序列S(t)经分裂器分裂为码率为R2的I、 Q信号, 再由I、 Q信号生成幅度为-AA的双极性不归零序列Re(t)、 Im(t), Re(t)和Im(t)分别对相互正交的两个载波cosct和 进行ASK(幅度键控)调制, 然后相加得到已调信号SQPSK(t)。 SQPSK(t)Re(t) cosct-Im(t) sinct (8-15)cos()sin2cctt 第97页/共214页 图 8-15 QPSK调制器原理方框图 电平变换器相加/ 2SQPSK电平变换器分裂器Re(t)cosctS(t)I(t)Q(t)Im(t)第98页/共214页 图8-16示出了QPSK调制信号SQPSK(t)的波形。 DVB-S采用QPSK调制。 16QAM信号可以由两个幅度相差一倍的QPSK信号组合而成, 如图8-17所示。 从图8-18的矢量图可见, 由于两个QPSK信号的幅度不同, 因此对于每一个QPSK信号来讲, 四种不同的相位可以传输四个双比特码元。 当它们组合以后, 就得到了16个相位或幅度不同的信号状态, 每个信号状态可以传输四个二进制信息, 这就组成了16QAM。 第99页/共214页图 8-16 QPSK调制器波形图 02461357tttt000A A AAA2A2SQPSK(t)Im(t)Re(t)S(t)12345670第100页/共214页图 8-17 两个幅度相差一倍的QPSK信号组合成16QAM信号QPSK调制器QPSK调制器a1b1a2b2相加16QAM21第101页/共214页图 8-18 两个QPSK组合成16QAM信号的矢量图 a1b10111100001111000a2b201010111110111110100 011000110001001100101000101010111001a1b1a2b2第102页/共214页 3. 格形编码调制(TCM, Trellis Code Modulation) 在传统的数字传输系统中, 纠错编码与调制是分别设计并实现的。 昂格尔博克(Ungerboeck)提出的网格编码调制(TCM)将两者作为一个整体来考虑。 编码器和调制器级连后产生的编码信号序列具有最大的欧氏自由距离。 在不增加系统带宽的前提下, 这种方案可获得36 dB的性能增益。 第103页/共214页 网格编码调制的基本原理是通过一种“集合划分映射”的方法, 将编码器对信息比特的编码转化为对信号点的编码, 在信道中传输的信号点序列遵从网格图中某条特定的路径。 这类信号有两个基本特征: (1) 星座图中所用的信号点数大于未编码时同种调制所需的点数(通常扩大1倍), 这些附加的信号点为纠错编码提供冗余度。 (2) 采用卷积码在时间上相邻的信号点引入某种相关性, 因而只有某些特定的信号点序列可能出现, 这些序列可以模型化为网格结构, 因而称为格形编码调制。 第104页/共214页 图8-19是通用TCM编码调制器结构示意图, TCM编码调制器由卷积编码器、 信号子集选择器和信号点选择器组成。 在每个调制信号周期中, 有b比特信息输入, 其中k比特送到卷积编码器, 卷积编码器输出的k+r比特中r比特是由编码器引入的冗余度, 通常r=1, 这k+r比特用于选择2b+r点星座的两个子集之一; 剩余b-k比特直接送到信号选择器, 在指定的子集中惟一确定一个星座点。 第105页/共214页图 8-19 通用TCM编码调制器结构示意图子集选择器信号点选择器卷积编码器b比特b k比特k r比特k比特多电平、多相位输出信号第106页/共214页 TCM码要构成信号星座到2k+r个子集的一种分割, 分割采用最小距离最大化的原则, 即分割后子集内信号点之间的最小欧氏距离最大。 每经过一次分割, 子集数加倍, 每个子集内的信号点数减半, 最小平方欧氏距离随之增大。 设经过i级分割之后子集内的最小欧氏距离为di, 则有d0d1d2。 用二叉树可以表示集分割, 定义最后一次分割得到的子集数为分割的级数, 显然图8-19的TCM编码调制器使用的分割级数应该是2k+r 。 第107页/共214页 图8-20是8PSK信号星座的集分割示意图, 分割级数为8, 假设 , 第一次分割后子集内的最小欧氏距离增大为 , 第二次分割后子集内的最小欧氏距离增大为d2=2。 在集分割树中, 令第i级分割产生的两个子集所对应的编码比特分别为Zi-1n=0或1。 当分割级数为2k+1时, 2k+1个子集分别对应于码组Zkn, Z1n, Z0n的不同组合。 12d 02sin228d第108页/共214页图 8-20 8PSK集分割示意图0000100 4001 1101 5011 3111 702nZ12nZ02nZ12nZ02nZ12nZ228sin20d21d22d00nZ10nZ01nZ11nZ01nZ11nZ010 2110 602nZ12nZ第109页/共214页 图8-21是16QAM信号星座的集分割示意图, 分割级数为8, 假设d0=1, , d2=2, 。 12d 32 2d 第110页/共214页 图 8-21 16QAM集分割示意图 000 0100 4010 2110 6001 1101 5011 3111 700nZ10nZ01nZ11nZ01nZ11nZ02nZ12nZ02nZ12nZ02nZ12nZ02nZ12nZ21d22d10d223d第111页/共214页 卷积编码器的作用是限制可用的信号点序列集合, 使发送信号序列之间的最小欧氏距离高于未编码系统相邻信号点的距离。 编码器引入是信号冗余而不是比特冗余, 信号星座的点数增加为原来的2r, 符号速率没有变, 不会导致传输带宽增加。 基本编码增益是衡量TCM码性能的重要参数, 它定义为22freefrefdd(8-16) 第112页/共214页 其中, dfree为TCM码的合法信号序列之间的最小欧氏距离, 也称自由欧氏距离; dref为未编码时信号星座的最小欧氏距离。 卷积码的状态数增加时, TCM码的自由欧氏距离会增大, 当状态数为4、 8、 16、 32、 64、 128时基本编码增益为3、 3.6、 4.1、 4.6、 4.8、 5。 当状态数增加到32后, 性能的改善不大而系统的复杂度剧增, 故常用TCM码的状态数都在32以下。 TCM码的解调与译码采用维特比算法。 第113页/共214页8.2 现有的数字电视标准 目前数字电视广播有三个相对成熟的标准制式: 欧洲的DVB(Digital Video Broadcasting)、 美国的ATSC(Advanced Television Systems Committee)和日本的ISDB(Integrated Services Digital Broadcasting)。 第114页/共214页 欧洲的DVB制式应用最广泛、 最灵活。 DVB制式主要包括数字卫星电视(DVB-S)、 数字有线电视(DVB-C)和数字地面广播电视(DVB-T)三个标准, 这三个标准的信源编码方式都是MPEG-2的复用数据包, 规定视频采用MPEG-2编码, 音频采用MPEG-2第二层(MUSICAM)编码标准。 DVB标准对于不同的传输媒体, 采用了不同的调制方式: DVB-S采用QPSK四相相移键控调制方式, DVB-C采用QAM正交幅度调制方式, DVB-T采用COFDM多载波频分复用技术。 第115页/共214页 COFDM在抑制多径传输干扰方面有着显著的优越性。 在传输比特率方面, DVB允许比特率可变, 对DVB-T而言, 在6 MHz的频带内传输速率为3.723.8 Mb/s, 在8 MHz的频带内, 传输速率为4.931.7 Mb/s。 DVB-C和DVB-S已被世界各国采纳。 第116页/共214页 ATSC标准视频压缩采用MPEG-2标准, 音频压缩采用ATSC标准A52(即杜比公司的AC-3), 节目复用遵循MPEG-2标准, 能完成各种码流的组合和调整。 地面电视广播系统中, 采用网格编码(Trellis Code)8电平残留边带(8-VSB)调制方式, 在6 MHz的
展开阅读全文
相关资源
正为您匹配相似的精品文档
相关搜索

最新文档


当前位置:首页 > 图纸专区 > 课件教案


copyright@ 2023-2025  zhuangpeitu.com 装配图网版权所有   联系电话:18123376007

备案号:ICP2024067431-1 川公网安备51140202000466号


本站为文档C2C交易模式,即用户上传的文档直接被用户下载,本站只是中间服务平台,本站所有文档下载所得的收益归上传人(含作者)所有。装配图网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。若文档所含内容侵犯了您的版权或隐私,请立即通知装配图网,我们立即给予删除!